CN111065970B - 量测方法和装置 - Google Patents

量测方法和装置 Download PDF

Info

Publication number
CN111065970B
CN111065970B CN201880057786.7A CN201880057786A CN111065970B CN 111065970 B CN111065970 B CN 111065970B CN 201880057786 A CN201880057786 A CN 201880057786A CN 111065970 B CN111065970 B CN 111065970B
Authority
CN
China
Prior art keywords
target
measurement
polarization
substrate
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880057786.7A
Other languages
English (en)
Other versions
CN111065970A (zh
Inventor
M·J·J·杰克
M·艾伯特
A·J·登博夫
N·潘迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN111065970A publication Critical patent/CN111065970A/zh
Application granted granted Critical
Publication of CN111065970B publication Critical patent/CN111065970B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

一种方法,包括:针对一个或多个测量质量参数,评估与使用图案化过程所处理的衬底的量测目标的测量相关联的多个偏振特性;并且基于测量质量参数中的一个或多个测量质量参数,从多个偏振特性中选择一个或多个偏振特性。

Description

量测方法和装置
相关申请的交叉引用
本申请要求于2017年9月6日提交的EP申请17189662.4的优先权,并且其全部内容通过引用并入本文。
技术领域
本公开涉及用于检查(例如,量测)的方法和装置,并且涉及使用光刻技术制造设备的方法,所述用于检查的方法和装置例如可用于通过光刻技术而进行的设备制造中。
背景技术
光刻装置是将期望图案施加到衬底上(通常,施加到衬底的目标部分上)的机器。光刻装置可以用于例如制造集成电路(IC)。在该实例中,图案化设备(其可替代地称为掩模或掩模版)可以用于生成要被形成在IC的个体层上的电路图案。该图案可以传递到衬底(例如,硅晶片)上的目标部分(例如,包括一个或几个裸片的一部分)上。图案通常经由成像传递到设置在衬底上的辐射敏感材料(抗蚀剂)层上。一般而言,单个衬底将包含连续图案化的相邻目标部分的网络。
实现图案化过程(即,产生设备或其他结构的过程涉及图案化(例如,光刻曝光或压印),该过程通常可以包括诸如抗蚀剂的显影、蚀刻等的一个或多个相关联的处理步骤)的重要方面包括:显影过程本身,对其进行设置以进行监测和控制,并且然后实际监测和控制过程本身。假设对图案化过程的基础性的配置,诸如一个(或多个)图案化设备的图案、一个(或多个)抗蚀剂类型、光刻后过程步骤(诸如显影、蚀刻等),则期望对图案化过程中的装置进行设置以便将图案传递到衬底上,显影一个或多个量测目标以监测该过程,设置量测过程以测量量测目标,并且随后实施基于测量来监测和/或控制过程的过程。
因此,在图案化过程中,期望确定(例如,测量、使用对图案化过程的一个或多个方面进行建模的一个或多个模型来模拟等)一个或多个感兴趣参数,诸如结构的临界尺寸(CD)、在衬底内或上形成的连续层之间的重叠误差(即,连续层的不期望的和无意的未对准)等。
期望确定由图案化过程产生的结构的这样的一个或多个感兴趣参数,并且将其用于与图案化过程有关的设计、控制和/或监测,例如,用于过程设计、控制和/或验证。图案化结构的所确定的一个或多个感兴趣参数可以用于图案化过程设计、校正和/或验证、缺陷检测或分类、产率估计和/或过程控制。
因此,在图案化过程中,经常期望对所产生的结构进行测量,例如,用于过程控制和验证。进行这种测量的各种工具是已知的,其包括通常用于测量临界尺寸(CD)的扫描电子显微镜、以及用于测量重叠的专用工具,该重叠是设备中两个层的对准的准确性的度量。可以按照两个层之间的未对准程度对重叠进行描述,例如,对所测量的1nm重叠的引用可以描述其中两个层未对准1nm的情况。
已经开发出各种形式的检查装置(例如,量测装置)以用于光刻领域。这些设备将辐射束引导到目标上,并且测量重定向(例如,散射)辐射的一个或多个性质(例如,作为波长函数的在单个反射角处的强度;作为反射角函数的在一个或多个波长处的强度;或作为反射角的函数的偏振),以获得“光谱”,从该光谱中可以确定目标的感兴趣性质。可以通过各种技术来执行感兴趣性质的确定:例如,通过诸如严格耦合波分析或有限元方法之类的迭代方法来重构目标;库搜索;以及主成分分析。
另一技术包括:阻止零阶衍射(与镜面反射相对应),并且仅处理更高阶衍射。可以在PCT专利申请公开号WO 2009/078708和WO 2009/106279找到这种量测的示例,其全部内容据此通过引用并入本文。已经在美国专利申请公开号US 2011-0027704,US 2011-0043791和US 2012-0242940中对该技术的其他发展进行了描述,它们中每篇文献的全部内容在此通过引用并入本文。这种基于衍射的技术通常用于测量重叠。针对技术的目标可以小于照射斑,并且可以由衬底上的产品结构包围。目标可以包含多个周期性结构,这些周期性结构可以在一个图像中进行测量。在这种量测技术的特定形式中,通过在某些条件下两次测量目标,同时旋转目标或改变照射模式或成像模式以分别获得-1衍射阶强度和+1衍射阶强度,来获得重叠测量结果。对于给定目标,强度非对称性(这些衍射阶强度的比较)提供了对目标非对称性的度量,也就是说,目标中的非对称性。目标中的这种非对称性可以用作重叠误差的指标。
发明内容
在重叠测量的示例中,它们所依赖的假设如下:重叠(即,重叠误差和故意偏置)是目标中的目标非对称性的唯一原因。目标中的任何其他非对称性(诸如上层中的周期性结构内的特征的结构非对称性、上层中的周期性结构所重叠的下层中的周期性结构内的特征的结构非对称性、或两者)也会导致一阶(或其他更高阶)的强度非对称性。可归因于目标中的这种其他非对称性并且与重叠(其包括有意偏置)不相关的这种强度非对称性会干扰重叠测量,从而给出不准确的重叠测量。目标的下部周期性结构或底部周期性结构中的非对称性是结构非对称性的一种常见形式。例如,它可能源于最初形成底部周期性结构之后执行的衬底处理步骤(例如,化学机械抛光(CMP))。期望改善诸如重叠测量之类的量测测量的可检测性、准确性和/或鲁棒性。
在一个实施例中,提供了一种方法,其包括:针对一个或多个测量质量参数,评估与使用图案化过程所处理的衬底的量测目标的测量相关联的多个偏振特性;并且基于测量质量参数中的一个或多个测量质量参数,从多个偏振特性中选择一个或多个偏振特性。
下文参考附图,对各种实施例的其他特征和优点以及结构和操作进行详细描述。应当指出,本发明不限于本文中所描述的具体实施例。本文中提出这种实施例仅出于说明性目的。基于本文中所包含的教导,对于相关领域的技术人员而言,其他实施例将是显而易见的。
附图说明
现在将参考附图仅通过示例对实施例进行描述,其中
图1描绘了光刻装置的一个实施例;
图2描绘了光刻单元或簇的一个实施例;
图3示意性地描绘了示例检查装置和量测技术;
图4示意性地描绘了示例检查装置;
图5图示了检查装置的照射斑与量测目标之间的关系;
图6示意性地描绘了基于测量数据来导出多个感兴趣变量的过程;
图7A描绘了被配置为使用第一对照射孔测量目标的检查装置(例如,在这种情况下为暗场散射仪)的示意图;
图7B示意性地描绘了针对给定照射方向的目标周期性结构的衍射光谱的细节;
图7C示意性地描绘了第二对照射孔,该第二对照射孔在使用图7A的检查装置用于基于衍射的重叠测量时提供了其他的照射模式;
图7D示意性地描绘了组合第一对孔和第二对孔的第三对照射孔;
图8描绘了多个周期性结构目标的形式和衬底上测量斑的轮廓;
图9描绘了在图7A的检查装置中获得的图8的目标的图像;
图10是示出了使用图3的检查装置的重叠测量方法的步骤的流程图;
图11A、图11B和图11C分别示出了在零区域中具有不同重叠值的重叠周期性结构的示意性横截面;
图11D是由于处理效果而在底部周期性结构中具有结构非对称性的重叠周期性结构的示意性横截面;
图12是根据本发明的一个实施例的用于进行测量的重叠周期性结构的示意性横截面;
图13图示了没有受到结构非对称性的影响的理想目标中的重叠测量原理;
图14图示了在对本文中的实施例中所公开的结构非对称性进行校正的情况下的非理想目标中的重叠测量的原理;
图15是用于针对单个波长在各种偏振角下进行测量的目标的衍射效率的图;
图16是用于针对单个波长在各种偏振下进行测量的目标的叠层灵敏度的图;
图17是根据本发明的一个实施例的量测装置的一部分的示意图;
图18是在照射光的两个正交偏振的各种相位差和照射辐射的波长下进行测量的目标的重叠灵敏度的图;以及
图19是示出了根据本发明的一个实施例的如何可以增加重叠灵敏度的示意图。
具体实施方式
在详细描述实施例之前,提出可以在其中实现实施例的示例环境具有指导意义。
图1示意性地描绘了光刻装置LA。该装置包括照射光学***(照射器)IL,其被配置为调节辐射束B(例如,UV辐射或DUV辐射);图案化设备支撑件或支撑结构(例如,掩模台)MT,其被构造为支撑图案化设备(例如,掩模)MA并且连接到第一***PM,该第一***PM被配置为根据某些参数精确定位图案化设备;衬底台(例如,晶片台)WT,其被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到第二***PW,该第二***PW被配置为根据某些参数精确定位衬底;以及投影光学***(例如,折射投影透镜***)PS,其被配置为将通过图案化设备MA赋予到辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上。
照射***可以包括各种类型的光学部件,诸如折射、反射、磁性、电磁、静电、或其他类型的光学部件、或其任何组合,用于引导、整形或控制辐射。
图案化设备支撑件以取决于图案化设备的方位、光刻装置的设计以及其他条件(诸如例如,图案化设备是否保持在真空环境中)的方式保持图案化设备。图案化设备支撑件可以使用机械、真空、静电或其他夹持技术来保持图案化设备。图案化设备支撑件可以例如是框架或台,其可以根据需要是固定的或可移动的。图案化设备支撑件可以确保图案化设备处于例如相对于投影***的期望位置。本文中对术语“掩模版”或“掩模”的任何使用可被认为与更通用的术语“图案化设备”同义。
本文中所使用的术语“图案化设备”应当被广义地解释为是指可以用于在辐射束的横截面向辐射束赋予图案以便在衬底的目标部分中形成图案的任何设备。应当注意,被赋予到辐射束的图案可能不完全与衬底的目标部分中的期望图案相对应,例如在图案包括相移特征或所谓的辅助特征的情况下。通常,被赋予到辐射束的图案讲与在诸如集成电路之类的目标部分中所创建的设备中的特定功能层相对应。
图案化设备可以是透射式或反射式的。图案化设备的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻中是众所周知的,并且包括诸如二元、交替相移和衰减相移之类的掩模类型,以及各种混合掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,其中每个小反射镜可以单独倾斜,以便沿不同方向反射入射辐射束。倾斜反射镜在辐射束中赋予图案,该辐射束由反射镜矩阵反射。
如本文中所描绘的,该装置是透射类型的装置(例如,采用透射掩模)。可替代地,该装置可以是反射类型的装置(例如,采用上述类型的可编程反射镜阵列、或者采用反射掩模)。
光刻装置还可以是以下类型的光刻装置,其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖,以便填充投影***和衬底之间的空间。浸没液体还可以施加到光刻装置中的其他空间,例如,施加在掩模和投影***之间。浸没技术在本领域中是众所周知的,用于增加投影***的数值孔径。如本文中所使用的术语“浸没”并不意指诸如衬底之类的结构必须淹没在液体中,而是仅意指液体在曝光期间位于投影***与衬底之间。
参考图1,照射器IL从辐射源SO接收辐射束。光源和光刻装置可以是单独的实体,例如,当光源是准分子激光器时。在这种情况下,光源不认为构成光刻装置的一部分,并且借助于包括例如合适的定向反射镜和/或扩束器的光束传送***BD,辐射束从源SO传递到照射器IL。在其他情况下,光源可以是光刻装置的组成部分,例如当光源是汞灯时。光源SO和照射器IL以及与光束传送***BD(如果需要)一起被称为辐射***。
照射器IL可以包括调整器AD,其用于调整辐射束的角强度分布。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围(radial extent)和/或内部径向范围(通常分别称为σ-外部和σ-内部)。另外,照射器IL可以包括各种其他部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以在其横截面中具有期望均匀性和强度分布。
辐射束B入射在图案化设备(例如,掩模)MA上,该图案化设备(例如,掩模)MA被保持在图案化设备支撑件(例如,掩模台)MT上,并且通过图案化设备进行图案化。在遍历图案化设备MA之后,辐射束B穿过投影***PS,该投影***PS将光束聚焦到衬底W的目标部分C上,从而将图案的图像投影在目标部分C上。借助于第二***PW和位置传感器IF(例如,干涉仪设备、线性编码器、2D编码器或电容传感器),可以精确移动衬底台WT,例如,以便将不同的目标部分C定位在辐射束B的路径中。同样,第一***PM和另一位置传感器(图1中未明确绘出)可以用于相对于辐射束B的路径精确定位图案化设备MA,例如,在从掩模库中机械检索之后或在扫描期间。
图案化设备(例如,掩模)MA和衬底W可以使用图案化设备对准标记M1,M2和衬底对准标记P1,P2来对准。尽管所图示的衬底对准标记占据专用目标部分,但是它们可以位于目标部分(这些称为划道对准标记)之间的空间中。同样,在图案化设备MA上提供多于一个裸片的情况下,图案化设备对准标记可以位于裸片之间。在设备特征之中,小对准标记也可以被包括在裸片内,在这种情况下,期望标记尽可能小,并且无需与相邻特征不同的任何成像或过程条件。下文对检测对准标记的对准***进行进一步的描述。
该示例中的光刻装置LA是所谓的双台型光刻装置,其具有两个衬底台WTa,WTb和在其间可以交换衬底台的两个站——曝光站和测量站。当一个衬底台上的一个衬底在曝光站处曝光时,另一衬底可以在测量站处被加载到另一衬底台上,并且对其执行各种准备步骤。准备步骤可以包括:使用水平传感器LS来绘制衬底的表面控制,并且使用对准传感器AS来测量对准标记在衬底上的位置。这使得能够显著提高装置的吞吐量。
所描绘的设备可以以多种模式使用,其包括例如步进模式或扫描模式。光刻装置的构造和操作对于本领域技术人员而言是众所周知的,并且为了理解本发明的实施例,无需进行进一步描述。
如图2所示,光刻装置LA可以形成光刻***的一部分,该光刻***称为光刻单元LC(lithographic cell或lithocell)或簇。光刻单元LC还可以包括在衬底上执行曝光前过程和曝光后过程的装置。传统上讲,这些装置包括沉积抗蚀剂层的旋涂器SC、使曝光的抗蚀剂显影的显影剂DE、激冷板CH和烘烤板BK。衬底处理器或机械人RO从输入/输出端口I/O1,I/O2拾取衬底,在不同的过程装置之间移动它们,然后将它们传送到光刻装置的进料台LB。这些设备——通常被统称为轨道——处于轨道控制单元TCU的控制下,该轨道控制单元TCU本身由管理控制***SCS控制,该管理控制***还经由光刻控制单元LACU控制光刻装置。因此,可以操作不同的装置以使吞吐量和处理效率最大。
为了对包括至少一个图案化步骤(例如,光刻步骤)的图案化过程(例如,设备制造过程)进行设计、监测、控制等,可以检查图案化衬底,并且测量图案化衬底的一个或多个测量参数。该一个或多个参数可以包括例如在图案化的衬底中或上形成的连续层之间的重叠,例如在图案化衬底中或上形成的特征的临界尺寸(CD)(例如,临界线宽),光刻步骤的焦点或聚焦误差,光刻步骤的剂量或剂量误差,光刻步骤的光学像差等。可以对产品衬底本身的目标和/或在衬底上提供的专用量测目标执行该测量。存在用于对在图案化过程中形成的结构进行测量的各种技术,其包括:使用扫描电子显微镜、基于图像的测量或检查工具、和/或各种专用工具。一种相对快速且非侵入式形式的专用量测和/或检查工具是一种将辐射束引导到衬底的表面上的目标上并且对散射(衍射/反射)束的性质进行测量的工具。通过比较光束在由衬底散射之前和之后的一个或多个性质,可以确定衬底的一个或多个性质。这可以称为基于衍射的量测或检查。
图3描绘了示例检查装置(例如,散射仪)。该示例检查装置(包括宽带(白光)辐射投影器2,其将辐射投射到衬底W上。重定向辐射传递到光谱仪检测器4,该光谱仪检测器4测量镜面反射辐射的光谱10(强度作为波长的函数),如例如左下方的曲线图所示出的。根据该数据,所检测到的光谱的结构或轮廓可以由处理器PU(例如,通过严格耦合波分析和非线性回归,或者通过与模拟光谱库进行比较,如图3的右下方所示)来重构。一般而言,对于重构,结构的一般形式是已知的,并且根据制造该结构的过程的知识,假定一些变量,从而只剩下要从测量数据确定的结构的一些变量。这种检查装置可以被配置为正入射检查装置或斜入射检查装置。
可以使用的另一检查装置如图4所示。在该设备中,辐射源2发出的辐射使用透镜***120进行准直,并且通过干涉滤光片130和偏振器170透射,被部分反射表面160反射,并且经由物镜150聚焦在衬底W上的光斑S中,该物镜150具有高数值孔径(NA),其期望地为至少0.9或至少0.95。浸没式检查装置(使用相对高折射率的液体,诸如水)的数值孔径甚至可能大于1。
如在光刻装置LA中,可以提供一个或多个衬底台以在测量操作期间保持衬底W。衬底台的形式可以与图1的衬底台WT相似或相同。在将检查装置与光刻装置集成在一起的示例中,它们甚至可以是同一衬底台。可以向第二***PW提供粗调***和微调***,该第二***PW被配置为相对于测量光学***准确定位衬底。各种传感器和致动器被提供例如用以获取感兴趣目标的位置,并且将其置于物镜150下方的位置。通常,将对跨衬底W的不同位置处的目标进行多次测量。衬底支撑件可以沿X方向和Y方向移动以获取不同的目标,并且沿Z方向移动以获得目标相对于光学***的焦点的期望位置。当例如在实践中光学***可以基本上保持静止(通常为沿X方向和Y方向,但也许还沿Z方向)并且只有衬底移动时,将操作视为和描述为如同将物镜引入到相对于衬底的不同位置是便利地。如果衬底和光学***的相对位置正确,则原则上衬底和光学***中的哪个在现实世界中正在移动、或者假如两者都正在移动、或者光学***的一部分正在移动(例如,沿Z方向和/或倾斜方向)和光学***的其余部分保持静止并且衬底正在移动(例如,沿X和Y方向,但可选地还沿Z方向和/或倾斜方向)的组合都无关紧要。
然后,由衬底W重定向的辐射穿过部分反射表面160进入检测器180,以便检测光谱。检测器180可以位于反向投影的焦平面110处(即,透镜***150的焦距处),或平面110可以通过辅助光学元件(未示出)重新成像到检测器180上。检测器可以是2维检测器,从而可以测量衬底目标30的二维角散射光谱。检测器180可以是例如CCD或CMOS传感器阵列,并且可以使用例如每帧40毫秒的积分时间。
参考束可以例如用于测量入射辐射的强度。为此,当辐射束入射在部分反射表面160上时,辐射束的一部分作为参考束朝向参考反射镜140透射通过部分反射表面160。然后,参考束被投影到同一探测器180的不同部分上,或可替代地,投影到不同探测器(未示出)上。
一个或多个干涉滤光片130可用于在例如405nm至790nm的范围内或更小范围(诸如200nm至300nm)内选择感兴趣波长。干涉滤光片可以是可调的,而非包括一组不同的滤光片。可以使用光栅代替干涉滤光片。可以在照射路径中提供孔径光阑或空间光调制器(未示出),以控制辐射在目标上的入射角范围。
检测器180可以测量单个波长(或窄波长范围)处的重定向辐射的强度,分别在多个波长处的强度或在一定波长范围内积分的强度。更进一步地,传感器可以分别测量横向磁偏振辐射和横向电偏振辐射的强度和/或横向磁偏振辐射和横向电偏振辐射之间的相位差。
衬底W上的目标可以是1D光栅,其被印刷使得在显影之后,条由固体抗蚀剂线形成。目标30可以包括2D光栅,其被印刷为使得在显影之后,光栅由抗蚀剂中的固体抗蚀剂柱或通孔形成。条、柱或通孔可以蚀刻到衬底中或蚀刻在衬底上(例如,蚀刻到衬底上的一个或多个层中)。(例如,条、柱或通孔的)图案对在图案化过程中处理的改变(例如,光刻投影装置(具体地,投影***PS)中的光学像差、焦点改变、剂量改变等)敏感,并且表现出印刷光栅的变化。因而,印刷光栅的测量数据被用于重构光栅。1D光栅的一个或多个参数(诸如线宽和/或形状)或2D光栅的一个或多个参数(诸如柱或通孔宽度或长度或形状)可以根据印刷步骤和/或其他检查过程的知识而被输入到由处理器PU执行的重构过程中。
除了通过重构来测量参数之外,基于衍射的度量或检查还可以用于测量产品和/或抗蚀剂图案中的特征的非对称性。例如,非对称性测量的特定应用是用于测量重叠,但是其他应用也是已知的。在这种情况下,目标30通常包括叠加在一个周期性特征集合上的另一周期性特征集合。例如,可以通过比较来自目标30的衍射光谱中的相对部分(例如,比较周期性光栅的衍射光谱中的-1阶和+1阶)来测量非对称性。例如,在美国专利申请公开US2006-066855中对使用图3或图4的仪器测量非对称性的构思进行了描述,其全部内容通过引用并入本文。简而言之,虽然仅通过目标的周期性来确定目标的衍射光谱中的衍射阶的位置,但是衍射光谱中的非对称性指示构成目标的各个特征中的非对称性。在图4的仪器中,其中检测器180可以是图像传感器,衍射阶的这种非对称性直接表现为由检测器180记录的光瞳图像的非对称性。这种非对称性可以通过单元PU中的数字图像处理来测量,并且可以根据已知的重叠值进行校准。
图5图示了典型目标30的平面图以及图4的装置中的照射斑S的范围。为了获得不受周围结构干涉影响的衍射光谱,在一个实施例中,目标30是大于照射斑S的宽度(例如,直径)的周期性结构(例如,光栅)。斑S的宽度可以小于目标的宽度和长度。换句话说,通过照射对目标进行‘欠填充’,并且衍射信号基本上不受来自目标自身外部的产品特征等的任何信号的影响。照射布置2,120,130,170可以被配置为跨物镜150的后焦平面提供均匀强度的照射。可替代地,通过例如在照射路径中包括孔,照射可以局限于同轴(on-axis)方向或离轴方向。
图6示意性地描绘了基于使用量测所获得的测量数据来确定目标图案30'的一个或多个感兴趣变量的值的示例过程。由检测器180检测到的辐射向目标30’提供了所测量的辐射分布108。
对于给定目标30',可以使用例如数值麦克斯韦(Maxwell)求解器210从参数化模型206计算/模拟辐射分布208。参数化模型206示出了构成目标并且与该目标相关联的各种材料的示例层。参数化模型206可以包括用于所考虑的目标中的部分的特征和层的一个或多个变量,可以对这些变量进行变化和推导。如图6所示,一个或多个变量可以包括一个或多个层的厚度t、一个或多个特征的宽度w(例如,CD)、一个或多个特征的高度h、和/或一个或多个特征的侧壁角度α。尽管未示出,但是一个或多个变量还可以包括但不限于一个或多个层的折射率(例如,实数或复数折射率、折射率张量等)、一个或多个层的消光系数、一个或多个层的吸收、显影期间的抗蚀剂损失、一个或多个特征的基脚(footing)和/或一个或多个特征的线边缘粗糙度。变量的初始值可以是针对所测量的目标的那些期望值。然后,在212处,比较测量的辐射分布108与计算的辐射分布208,以确定两者之间的差异。如果存在差异,则可以变化参数化模型206中的一个或多个变量的值,计算新的所计算出的辐射分布208,并且将该新的所计算出的辐射分布208与测量的辐射分布108进行比较,直到测量的辐射分布108和所计算出的辐射分布208之间实现充分匹配为止。此时,参数化模型206的变量的值提供实际目标30'的几何形状的良好匹配或最佳匹配。在一个实施例中,当测量的辐射分布108和计算出的辐射分布208之间的差异在公差阈值之内时,则存在充分匹配。
图7A示出了用于实施例的另一检查装置。图7B更详细地图示了目标T和用于照射目标的测量辐射的衍射光线。所图示的检查装置是被称为暗场量测装置的类型的检查装置。该检查装置可以是独立设备,或者可以被并入例如测量站处的光刻装置LA中,或者并入光刻单元LC中。在整个装置中具有几个分支的光轴用点线O表示。在该装置中,由光源11(例如,诸如氙灯)发出的辐射通过包括透镜12,14和物镜16的光学***经由光学元件15引导到衬底W上。这些透镜以4F布置的双重序列布置。可以使用不同的透镜布置,只要它例如将衬底图像提供到检测器上并且同时允许进入中间光瞳平面以进行空间频率滤波即可。因此,通过在呈现衬底平面的空间光谱的平面(本文中称为(共轭)光瞳平面)中定义空间强度分布,可以选择辐射入射在衬底上的角范围。具体地,这可以在作为物镜光瞳平面的反向投影图像的平面中,例如通过在透镜12和14之间***合适形式的孔板13来进行。在所图示的示例中,孔板13具有标记为13N和13S的不同形式,从而允许选择不同的照射模式。本示例中的照射***形成离轴照射模式。在第一照射模式下,孔板13N从仅出于描述目的而被指定为‘北’的方向提供离轴照射。在第二照射模式下,孔板13S用于提供类似的照射,但是从标记为‘南’的相反方向提供。通过使用不同的孔板来进行其他模式的照射也是可能的。期望地,光瞳平面的其余部分是暗的,因为期望照射模式之外的任何不必要的辐射都可能干涉期望的测量信号。
如图7B所示,目标T与基本上垂直于物镜16的光轴O的衬底W放置在一起。该衬底W可以由支撑件(未示出)支撑。以偏离轴O一定角度撞击在目标T上的测量辐射光线I产生零阶光线(实线0)和两个一阶光线(点划线+1和双点划线-1)。应当记住,在过填充的小目标T的情况下,这些光线只是覆盖包括量测目标T和其他特征的衬底区域的许多平行光线中的一个平行光线。由于板13中的孔的宽度有限(必须允许有用量的辐射),所以入射光线I实际上会占据一定角度范围,并且衍射光线0和+1/-1会稍微散开。根据小目标的点扩散函数,每个阶+1和-1在一定角度范围内进一步扩散,而不是如图所示出的单个理想光线。注意,可以设计或调整目标的周期性结构的节距和照射角度,以使进入物镜的一阶光线与中心光轴紧密对准。图7A和图7B中所图示的光线被示为有些偏离轴,纯粹是为了使得它们能够在图中更容易区分。
由衬底W上的目标T衍射的至少0阶和+1阶通过物镜16收集,并且通过光学元件15引导回来。返回到图7A,通过指定被标记为北(N)和南(S)的沿直径相对的孔,图示了第一照射模式和第二照射模式两者。当测量辐射的入射光线I来自光轴的北侧时,也就是说,当使用孔板13N施加第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。相比之下,当使用孔板13S施加第二照射模式时,-1衍射光线(被标记为1(S))是进入透镜16的光线。
分束器17将衍射的光束分成两个测量分支。在第一测量分支中,光学***18使用零阶衍射光束和一阶衍射光束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶命中传感器上的不同点,以便图像处理可以比较和对比各阶。由传感器19捕获的光瞳平面图像可以用于聚焦检查装置和/或对一阶光束的强度测量进行归一化。光瞳平面图像还可以用于其他测量目的,诸如重构。
在第二测量分支中,光学***20,22在传感器23(例如,CCD或CMOS传感器)上形成目标T的图像。在第二测量分支中,在与光瞳平面共轭的平面中设置孔径光阑21。孔径光阑21用于阻挡零阶衍射光束,从而在传感器上形成目标的图像仅由-1或+1一阶光束形成。由传感器19和23测量的图像输出到处理图像的处理器PU,其功能将取决于所执行的测量的特定类型。注意,术语‘图像’以宽泛的意义使用。如果仅存在-1和+1阶中的一个阶,将不会形成这样的周期性结构特征的图像。
图7A、图7C和图7D所示的孔板13和场光阑21的特定形式仅是示例。在一个实施例中,使用对目标的同轴照射,并且使用具有离轴孔径的孔径光阑以将基本上仅一个一阶衍射辐射传递到传感器。在又其他实施例中,代替一阶光束或除一阶光束之外,还可以在测量中使用二阶光束、三阶光束和更高阶光束(图7A、图7B、图7C或图7D中未示出)。
为了使测量辐射能够适应于这些不同类型的测量,孔板13可以包括形成在盘的周围的若干个孔图案,该盘旋转以将期望图案放置到位。注意,孔板13N或13S可以仅用于测量沿一个方向(X或Y取决于设置)定向的周期性结构。为了测量正交周期性结构,可以实现目标旋转90°和270°。图7C和图7D中图示了不同的孔板。在例如上文所提及的专利申请公开文本中对这些孔板的使用以及装置的许多其他变型和应用进行了描述。
图8描绘了根据已知实践的形成在衬底上的(复合)目标。在该示例中,该目标包括紧密定位在一起的四个周期性结构(例如,光栅)32至35,使得它们都在由检查装置的量测辐射照射束形成的测量斑31内。因此,四个周期性结构全部同时被照射并且同时成像在传感器19和23上。在专用于重叠测量的示例中,周期性结构32至35本身就是通过重叠周期性结构而形成的复合周期性结构,该周期性结构被图案化在形成在衬底W上的例如半导体设备的不同层中。周期性结构32至35可以具有不同偏置的重叠偏移,以便有助于测量形成复合周期性结构的不同部分的层之间的重叠。下文将参考图8对重叠偏置的含义进行解释。如所示出的,周期性结构32至35的方位还可以不同,以便沿X和Y方向衍射入射辐射。在一个示例中,周期性结构32和34是偏置偏移分别为+d,-d的X方向周期性结构。周期性结构33和35可以是偏置偏移分别为+d和-d的Y方向周期性结构。可以在由传感器23捕获的图像中标识这些周期性结构的单独图像。这仅是目标的一个示例。目标可以包括多于或少于四个的周期性结构,或仅包括单个周期性结构。
图9示出了图像的示例,该图像可以使用图7的装置中的图8的目标、使用来自图7D的孔板13NW或13SE在传感器23上形成并且由传感器23检测。虽然光瞳平面图像传感器19不能解析不同的个体周期性结构32至35,但是传感器23可以解析它们。暗色矩形表示传感器上图像的场,在该场内,衬底上的照射斑31成像到对应圆形区域41中。在该圆形区域41内,矩形区域42-45表示小目标的周期性结构32至35的图像。如果目标位于产品区域中,则产品特征在该图像场的***中也可见。图像处理器和控制***PU使用图案识别来处理这些图像,以标识周期性结构32至35的单独图像42至45。这样,不必在传感器框架内的特定位置非常精确地对准图像,这就极大地提高了测量装置整体的吞吐量。
一旦已经标识了周期性结构的单独图像,就可以例如通过对所标识的区域内的所选择的像素强度值求平均或求和来测量那些个体图像的强度。图像的强度和/或其他性质可以相互比较。这些结果可以组合起来以测量图案化过程的不同参数。重叠性能就是这种参数的重要示例。
图10图示了如何使用例如PCT专利申请公开号WO 2011/012624(全部内容通过引用并入本文)中所描述的方法测量包含部件周期性结构32至35的两层之间的重叠误差(即,不希望的未对准和无意的重叠未对准)。该测量通过标识目标非对称性来进行,而该目标非对称性如通过比较目标周期性结构的+1阶图像和-1阶图像的强度(可以比较其他对应的更高阶的强度,例如,+2阶和-2阶)以获得强度非对称性的测量来揭示。在步骤S1处,通过光刻装置(诸如图2的光刻单元)对衬底(例如,半导体晶片)进行处理一次或多次,以产生包括周期性结构32-35的目标。在S2处,使用图7的检查装置,使用一阶(如,-1)衍射光束中的仅一个衍射光束来获得周期性结构32至35的图像。在步骤S3处,无论是通过改变照射模式或改变成像模式,还是通过在检查装置的视场中将衬底W旋转180°,都可以获得使用另一个一阶(+1)衍射光束的周期性结构的第二图像。因此,在第二图像中捕获了+1衍射辐射。
注意,通过在每个图像中包括第一阶衍射辐射的仅一半,本文中所指的‘图像’不是传统暗场显微镜图像。目标周期性结构的各个目标特征将无法解析。每个目标周期性结构仅由某个强度水平的区域表示。在步骤S4中,在每个部件目标周期性结构的图像内标识感兴趣区域(ROI),根据该感兴趣区域,测量强度水平。
在已经标识了每个个体目标周期性结构的ROI并且测量了其强度后,则可以确定目标的非对称性,并且由此确定重叠误差。这在步骤S5中完成(例如,通过处理器PU),该步骤S5比较针对每个目标周期性结构32至35的+1阶和1阶所获得的强度值,以标识它们的强度非对称性,例如,其强度的任何差异。术语“差异”并不旨在仅指减法。差异可以比率的形式计算。在步骤S6中,多个目标周期性结构的所测量的强度非对称性与那些目标周期性结构的任何已知施加的重叠偏置一起用于计算目标T附近的图案化过程的一个或多个执行参数。
图11A至图11D示出了具有不同偏置偏移的目标周期性结构(重叠周期性结构)的示意性横截面。这些可以用作衬底W上的目标T,如图7至图9所示。仅为了示例起见,示出了X方向上具有周期性的周期性结构。具有不同偏置和不同方位的这些周期性结构的不同组合可以分开或作为目标的一部分提供。
从图11A开始,示出了形成在标记为L1和L2的至少两个层中的目标600。在下部层或底部层L1中,第一周期性结构(下部周期性结构或底部周期性结构)(例如,光栅)由衬底606上的特征602和空间604形成。在层L2中,第二周期性结构(例如,光栅)由特征608和空间610形成。(绘制横截面使得特征602,608(例如,线)延伸到页面中。)周期性结构图案在两层中以节距P重复。特征602和608可以采用线、点、块和通孔的形式。在图11A所示的情况下,不存在由于未对准引起的重叠贡献,例如,没有重叠误差和没有施加的偏置,使得第二结构的每个特征608正好位于第一结构中的特征602之上。
在图11B中,示出了具有第一已知施加偏置+d的同一目标,使得第一结构的特征608相对于第二结构的特征向右移位距离d。实际上,偏置距离d可以是几纳米,例如,10nm至20nm,而节距P例如在300至1000nm的范围内,例如,500nm或600nm。在图11C处,描绘了具有第二已知施加偏置-d的另一特征,使得608的特征向左移位。对于每个结构,d的值不必相同。图11A至11C所示出的这种类型的偏置周期性结构在上文所提及的现有专利申请公开文本中进行了描述。
图11D示意性地示出了结构非对称性(在本情况下为第一结构的结构非对称性(底部结构非对称性))的现象。当真实特征在侧面具有一定斜率和一定粗糙度时,图11A至图11C的周期性结构中的特征的侧面被示为完全方形。然而,它们旨在至少在轮廓上对称。第一结构中的图11D处的特征602和/或空间604不再具有对称形式,而是通过一个或多个处理步骤进行扭曲。因此,例如,每个空间的底部表面已经变得倾斜(底壁倾斜)。例如,特征和空间的侧壁角度已经变得非对称。作为这一情况的结果,目标的整体目标非对称性将包括与结构非对称性无关的重叠贡献(即,由于第一结构和第二结构的未对准而引起的重叠贡献;其本身包括重叠误差和任何已知施加的偏置)以及由于目标中这种结构非对称性而引起的结构贡献。
图12是重叠周期性结构的示意性横截面。如图2所示,在一个实施例中,重叠周期性结构包括两个光栅。图12的左侧示出了第一光栅。图12的右侧上示出了第二光栅。
图12中的向下箭头表示入射在光栅上的照射辐射。向上对角箭头表示反射的+1衍射阶辐射和反射的-1衍射阶辐射。
可以测量强度非对称性A。强度非对称性是+1衍射阶和-1衍射阶之间的强度差异。强度非对称性A与重叠OV成比例。具体地,强度非对称性A等于重叠OV和重叠灵敏度K的乘积。
通过以施加的两个不同的附加移位测量两个不同目标上的非对称性A,可以确定重叠灵敏度K,并且可以测量重叠OV。在图12中,附加移位被示为d。
当通过图10的方法仅使用两个偏置周期性结构测量重叠时,过程诱致的结构非对称性将无法与由于未对准所导致的重叠贡献区分开来,并且结果,重叠测量(特别地,用于测量不期望的重叠误差)变得不可靠。目标的第一结构(底部周期性结构)的结构非对称性是一种常见形式的结构非对称性。它可能例如源自最初形成第一结构之后执行的衬底处理步骤,诸如化学机械抛光(CMP)。
在PCT专利申请公开号WO 2013-143814中,提出了使用三个或更多个部件周期性结构来通过图10的方法的修改版本来测量重叠。图11A至图11C所示类型的三个或更多个周期性结构被用于获得针对目标周期性结构的结构非对称性进行了某种程度校正的重叠测量,这些重叠测量诸如是由于实际图案化过程中底部结构非对称性所引起的。然而,该方法需要新型目标设计(例如,与图8所示的设计不同),并且因此将需要新型图案化设备或图案化设备的图案。更进一步地,目标区域更大并且因此消耗更多的衬底面积。另外,在该方法和其他现有方法中,忽略了由于结构非对称性而导致的重叠贡献的相位元件,这意味着校正的准确性不如相位元件也被校正时的准确性。
在图13中,曲线702图示了‘理想’目标的重叠OV与强度非对称性A之间的关系,该理想目标在形成目标的个体周期性结构内(特别地,第一结构的个体周期性结构内)具有零偏移并且没有结构非对称性。因此,该理想目标的目标非对称性仅包括由于第一结构和第二结构的未对准而引起的重叠贡献,该未对准由于已知的施加偏置和重叠误差OVE所导致。该曲线图以及图14的曲线图仅图示了本公开背后的原理,并且在每个曲线图中,强度非对称性A和重叠OV的单位是任意的。下文将进一步给出实际尺寸的示例。
在图13的‘理想’情况下,曲线702指示强度非对称性A与重叠具有非线性周期性关系(例如,正弦关系)。正弦变化的周期P与周期性结构的周期或节距P相对应,后者当然可以转换为适当的尺度。在该示例中,正弦形式是纯正的,但在实际情况下可以包括谐波。
如上文所提及的,偏置周期性结构(具有施加的已知重叠偏置)可以用于测量重叠,而非依赖于单个测量。该偏置具有在图案化设备(例如,掩模版)中限定的已知值(据此,该图案化设备被制造),该值用作与所测量的强度非对称性相对应的重叠的衬底上校准(on-substrate calibration)。在附图中,该计算以图形方式图示。在步骤S1至S5中,针对分别施加有偏置+d和-d的周期性结构,获得强度非对称性测量A+d和A-d(例如,如图11B和图11C所示)。将这些测量拟合为正弦曲线给出所示出的点704和706。得知了偏置,就可以计算出真正重叠误差OVE。根据目标的设计得知正弦曲线的节距P。曲线702的垂直尺度始于未知,但它是未知因素,其可以被称为一阶谐波比例常数K。因此,重叠灵敏度K是强度非对称性测量对重叠的灵敏度的度量。在一个实施例中,其是测量的强度相对于重叠的比例。因此,其有助于检测重叠的过程依赖性。
在等式项,重叠误差OVE和强度非对称性A之间的关系假设如下:
A±d=Ksin(OVE±d) (1)
其中重叠误差OVE按尺度表达,使得目标间距P与2π弧度角相对应。使用具有不同已知偏置(例如,+d和–d)的两个光栅测量,重叠误差OVE可以使用以下等式计算:
Figure BDA0002401523970000201
图14示出了引入结构非对称性(例如,图11D所图示的底部周期性结构非对称性)的第一效果。‘理想’正弦曲线702不再适用。然而,至少近似地,底部周期性结构非对称性或其他结构非对称性具有将强度移位项K0和相移项φ添加到强度非对称性A±d的效果。所得曲线在图中被示为712,其中标签K0指示强度移位项,标签φ指示相偏移项。强度移位项K0和相移项φ取决于目标和测量辐射的选择特性(诸如测量辐射的波长和/或偏振)的组合,并且对过程变化敏感。在等式项中,在步骤S6中用于计算的关系变为:
A±d=K0+Ksin(OVE±d+φ) (3)
在存在结构非对称性的情况下,由等式(2)描述的重叠模型将提供受强度移位项K0和相移项φ影响的重叠误差值,并且因此是不准确的。因为强度和相移例如取决于波长和/或偏振,所以当绘制重叠误差时,结构非对称性还将导致使用一个或多个不同的测量参数(例如,测量光束的波长、测量光束的偏振等)对同一目标进行测量时的差异。
修改的步骤S6的重叠计算依赖于某些假设。首先,假设强度非对称性表现为重叠的正弦函数,其中周期P与光栅节距相对应。这些假设对当前重叠范围有效。因为小节距波长比率仅允许从光栅传播的少量衍射阶,所以谐波的数目可以设计得很小。然而,实际上,由于未对准而导致的重叠对强度非对称性的贡献可能不一定是真正的正弦曲线,并且可能不一定是关于OV=0完全对称的。
因此,结构非对称性的影响通常可以用公式表示如下:
ΔI+=K(OV+d)+ΔIBG (4)
ΔI-=K(OV-d)+ΔIBG (5)
其中ΔI-(也与A-同义)和ΔI+(也与A+同义)代表所测量的强度非对称性,而ΔIBG是对结构非对称性的强度非对称性的贡献。因此,重叠误差ΔOV可以视为ΔIBG/K的函数。
现在,进一步发现,除了目标的结构非对称性之外或作为其备选,目标的相邻周期性结构之间或相邻目标之间的叠层差异可能是对测量准确性(诸如重叠测量)产生不利影响的因素。叠层差异可以理解为相邻周期性结构或目标之间的物理配置上的非设计差异。叠层差异导致相邻周期性结构或目标之间的测量辐射的光学性质(例如,强度、偏振等)有所差异,这是由于除了重叠误差、意外偏差和相邻周期性结构或目标所共同的结构非对称性以外的原因。叠层差异包括但不限于相邻周期性结构或目标之间的厚度差异(例如,一个或多个层的厚度差异,使得一个周期性结构或目标高于或低于被设计为处于大致相等的水平的另一周期性结构或目标)、相邻周期性结构或目标之间的折射率差异(例如,一个或多个层的折射率差异,使得一个周期性结构或目标的一个或多个层的组合折射率与即使被设计为具有基本相等的组合折射率的另一周期性结构或目标的一个或多个层的组合折射率不同)、相邻周期性结构或目标之间的材料差异(例如,一个或多个层的材料类型、材料均匀性等的差异,使得一个周期性结构或目标与被设计为具有基本相同的材料的另一周期性结构或目标存在材料差异)、相邻周期性结构或目标的结构的光栅周期差异(例如,一个周期性结构或目标与被设计为具有基本相同的光栅周期的另一周期性结构或目标存在光栅周期差异)、相邻周期性结构或目标的结构深度差异(例如,由于刻蚀所导致的一个周期性结构或目标的结构深度与被设计为具有基本相同深度的另一周期性结构或目标的结构深度的差异)、相邻周期性结构或目标的特征的宽度(CD)差异(例如,一个周期性结构或目标与被设计为具有基本相同的特征宽度的另一周期性结构或目标的特征宽度差异),等。在一些示例中,叠层差异由图案化过程中的处理步骤(诸如CMP、层沉积、蚀刻等)引入。在一个实施例中,如果彼此在200μm之内,彼此在150μm之内,彼此在100μm之内,彼此在75μm之内,彼此在50μm之内,彼此在40μm之内,彼此在30μm之内,彼此在20μm之内或彼此在10μm之内,则周期性结构或目标相邻。
叠层差异的影响(其可以被称为光栅之间的光栅失衡)通常可以用公式表示如下:
ΔI+=(K+ΔK)(OV+d) (6)
ΔI-=(K-ΔK)(OV-d) (7)
其中ΔK代表归因于叠层差异的重叠灵敏度差异。并且因此,重叠误差ΔOV可以与
Figure BDA0002401523970000231
成比例。
因此,为了表征叠层差异,可以定义一个或多个叠层差异参数。如上文所指出的,叠层差异参数是相邻周期性结构或目标的非设计的不同物理配置的度量。在一个实施例中,可以根据评估相邻周期性结构或目标的横截面来确定叠层差异参数。
在一个实施例中,可以通过在施加上部光栅之前评估下部相邻光栅来确定复合光栅的下部相邻光栅的叠层差异参数。在一个实施例中,叠层差异参数可以根据相邻周期性结构或目标的光学测量或根据相邻周期性结构或目标的横截面,从相邻周期性结构或目标的重构中导出。也就是说,对物理尺寸、特性、材料性质等进行重构,并且确定相邻周期性结构或目标之间的差异,以得出叠层差异参数。
叠层差异参数的实施例是周期性结构强度失衡(GI),其可以被定义如下:
Figure BDA0002401523970000232
其中
Figure BDA0002401523970000233
是由具有+d偏置/>
Figure BDA0002401523970000234
的第一周期性结构衍射的+1衍射阶强度信号和由具有+d偏置/>
Figure BDA0002401523970000235
的第一周期性结构衍射的-1衍射阶强度信号的平均值。同样,/>
Figure BDA0002401523970000236
是由具有-d偏置/>
Figure BDA0002401523970000237
的第二周期性结构衍射的+1衍射阶强度信号和由具有-d偏置/>
Figure BDA0002401523970000238
的第二周期性结构衍射的-1衍射阶强度信号的平均值。在一个实施例中,周期性结构强度失衡(GI)可以是导出版本,诸如/>
Figure BDA0002401523970000239
等。
现在,面对结构非对称性、叠层差异和任何其他过程变化,期望推导出目标布局、测量光束波长、测量光束偏振等的组合,该组合将产生对期望的过程参数(例如,重叠)的精确测量和/或产生对过程可变性鲁棒的期望的过程参数的测量值。因此,例如期望得到目标测量参数组合的期望最佳选择,以便获得更精确的过程参数测量和/或产生对过程变化鲁棒的期望过程参数的测量值。
目标的测量准确性和/或灵敏度可以相对于目标本身的一个或多个属性和/或提供给目标的测量辐射的一个或多个属性(例如,辐射的波长、辐射的偏振和/或辐射的强度分布(即,角强度分布或空间强度分布)而变化。在一个实施例中,辐射的波长范围限于从一个范围中选择(例如,从约400nm至900nm的范围中选择)的一个或多个波长。进一步地,可以提供辐射束的不同偏振的选择,并且可以使用例如多个不同的孔来提供各种照射形状。
因此,为了实现这种选择和测量,可以使用衬底测量配方,其指定了使用测量***时测量的一个或多个参数。在一个实施例中,术语“衬底测量配方”包括测量本身的一个或多个参数、所测量的图案的一个或多个参数、或两者。
在该上下文中,所测量的图案(也称为“目标”或“目标结构”)可以是光学测量的图案,例如衍射被测量的图案。所测量的图案可以是专门为测量目的设计或选择的图案。目标的多个副本可以放置在衬底上的许多位置上。例如,衬底测量配方可以用于测量重叠。在一个实施例中,衬底测量配方可以用于测量另一过程参数(例如,剂量、焦点、CD等)。在一个实施例中,衬底测量配方可以用于测量正被成像的图案的层相对于衬底上的已有图案的对准;例如,衬底测量配方可以用于通过测量衬底的相对位置来将图案化设备与衬底对准。
在一个实施例中,如果衬底测量配方包括测量本身的一个或多个参数,则测量本身的一个或多个参数可以包括与用于进行测量的测量光束和/或测量装置有关的一个或多个参数。例如,如果衬底测量配方中使用的测量是基于衍射的光学测量,则测量本身的一个或多个参数可以包括测量辐射的波长、和/或测量辐射的偏振、和/或测量辐射强度分布、和/或相对于测量辐射的衬底的照射角度(例如,入射角、方位角等)、和/或衍射测量辐射的相对于衬底上的图案的相对方位、和/或目标的若干个测量点或实例、和/或在衬底上测量的目标的实例的位置。测量本身的一个或多个参数可以包括测量中使用的量测装置的一个或多个参数,其可以包括检测器敏感度、数值孔径等。
在一个实施例中,如果衬底测量配方包括所测量的图案的一个或多个参数,则测量图案的一个或多个参数可以包括一个或多个几何特性(诸如至少一部分图案的形状、和/或至少一部分图案的方位、和/或至少一部分图案的间距(例如,周期性结构的间距,其包括下部周期性结构的间距,和/或高于该下部周期性结构的层中的上部周期性结构的间距)、和/或至少一部分图案的尺寸(例如,CD)(例如,周期性结构的特征的CD,其包括上部周期性结构和/或下部周期性结构的特征的CD)、和/或图案的特征的分割(例如,将周期性结构的特征划分为子结构)、和/或周期性结构的长度或周期性结构的特征的长度、和/或至少一部分图案的材料性质(例如,折射率、消光系数、材料类型等)、和/或图案的标识(例如,将图案与另一图案区分开)等。
衬底测量配方可以以如(r1,r2,r3,...rn;t1,t2,t3,...tm)的形式表达,其中ri是测量的一个或多个参数,tj是一个或多个测量图案的一个或多个参数。应当领会,n和m可以是1。进一步地,衬底测量配方无需同时具有测量的一个或多个参数和一个或多个测量图案的一个或多个参数两者;它可以仅具有测量的一个或多个参数,或可以仅具有一个或多个测量图案的一个或多个参数。
可以使用两个衬底测量配方A和B来对目标进行测量,这两个衬底测量配方A和B例如在测量目标的阶段上有所不同(例如,当其包括潜像结构时,A测量目标,而当其不包括潜像结构时,B测量目标)和/或在它们的测量的参数上有所不同。衬底测量配方A和B至少在测量目标上可能有所不同(例如,A测量第一目标,以及B测量第二不同目标)。衬底测量配方A和B在它们的测量参数和目标上可能有所不同。衬底测量配方A和B甚至可能都不基于相同的测量技术。例如,配方A可以基于基于衍射的测量,并且配方B可以基于扫描电子显微镜(SEM)测量或原子力显微镜(AFM)测量。
因而,在一个实施例中,为了确定一个或多个衬底测量配方(其将产生期望的过程参数(例如,重叠)的精确测量和/或产生对过程变化鲁棒的期望的过程参数的测量值),多个衬底测量配方可以针对一个或多个性能指标进行评估,以标识这种一个或多个准确和/或鲁棒的衬底测量配方。
示意性地描绘了确定一个或多个衬底测量配方的方法的实施例,该衬底测量配方将产生期望的过程参数(例如,重叠)的精确测量和/或产生对过程变化鲁棒的期望的过程参数的测量值。在该示例方法中,多个不同的量测目标分别针对测量光束波长的多个不同值(即,从被用于测量目标的检查装置可获得的波长)和多个不同的偏振值(即,从被用于测量目标的检查装置可获得的偏振)来评估;目标、波长和偏振的每个特定组合与特定衬底测量配方相对应。然而,该方法不限于此。例如,该方法可以用于评估除波长和偏振以外的其他参数或附加参数。作为另一示例,该方法可以用于仅评估单个目标(例如,针对多个不同的波长和偏振,针对单个偏振的多个不同波长,针对多个不同偏振的单个波长等)。作为另一示例,该方法可以用于针对单个偏振的多个不同波长评估多个目标。作为另一示例,该方法可以用于针对单个波长的多个不同偏振评估多个目标。
进一步地,尽管依序示出了各个步骤,但是它们不一定必须以该顺序来执行。进一步地,无需执行所有步骤。例如,可以执行步骤中的一个或多个步骤。因此,可以执行从步骤中选择的任何组合。
在1400处,根据多个不同波长和多个不同偏振(在这种情况下为两个偏振)对单个目标执行第一数据分析。可以通过实验获得数据,或从使用目标的生产测量中获得数据。例如,所考虑的目标的多个实例可以跨使用图案化过程的衬底印刷,其中目标将被用于该图案化过程,然后每个实例以多个不同的设置(例如,不同的波长、不同的偏振等)使用可适用的检查装置来测量。
可以模拟由于使用衬底测量配方来测量目标而产生的过程参数(例如,重叠、对准、聚焦)测量。在模拟中,测量的一个或多个参数使用衬底测量配方的参数ti和/或tj来确定(例如,由参数ti和/或tj提供或从参数ri和/或tj确定)。例如,辐射和与衬底测量配方相对应的目标之间的交互可以通过使用例如麦克斯韦求解器和严格耦合波分析(RCWA)或通过其他数学建模根据衬底测量配方的那些参数来确定。因此,使用目标和相关联的衬底测量配方所预期的测量可以根据交互确定。因此,在某些情况下,例如,为了确定产生强信号的目标,数据可以使用测量过程的模拟器来获得;模拟器可以在数学上导出如何根据检查装置的测量技术(例如,基于衍射的重叠测量)使用检查装置通过例如计算强度来测量具有特定特性的特定目标(例如,根据间距、特征宽度、材料类型等指定的目标),所述强度将在例如图7的装置的检测器中得到测量。为了获得鲁棒性数据,模拟器可以在一定范围(例如,多达10%的改变,多达5%的改变,多达2%的改变,多达1%的改变,或多达0.5%的改变)内引入扰动,以模仿过程变化(其可以扩展到整个衬底)。
因此,实验方法或模拟可以使用例如上文所描述的公式得出特定参数或指标(诸如OV、K等)的值。
一个这种指标是叠层灵敏度(SS)(也被认作信号对比度)。叠层灵敏度可以理解为由于目标(例如,光栅)层之间的衍射,信号的强度随着重叠改变而改变多少的度量。也就是说,在重叠上下文中,它检测重叠目标的上部周期性结构和下部周期性结构之间的对比度,并且由此代表上部周期性结构和下部周期性结构之间的衍射效率之间的平衡。因此,它是测量灵敏度的示例度量。在一个实施例中,叠层灵敏度是强度非对称性与平均强度之间的比率。在一个实施例中,叠层灵敏度可以用公式表示为SS=KL/IM,其中L是用户定义常数(例如,在一个实施例中,值L是20nm和/或偏置d的值),而IM是目标所衍射的测量光束的平均强度。在一个实施例中,应当使衬底测量配方的叠层灵敏度最大化。然而,已经发现使用具有最大叠层灵敏度的衬底测量配方可能不是最佳的。例如,叠层灵敏度最大的测量光束波长可能与低重叠灵敏度和不良过程鲁棒性相对应。
图15和图16给出了衬底测量配方数据的示例。该数据可以表示测量数据作为一个或多个衬底测量配方参数(特别地,测量本身的一个或多个参数)的函数的依赖性。图15是用于在单个波长的各种偏振角下测量的目标的衍射效率的图。衍射效率与目标所衍射的测量光束的平均强度成正比。
在图15中,X轴表示在测量量测目标时照射量测目标的辐射的偏振角θ(以度为单位)。偏振角θ是照射光线的电场矢量相对于p偏振的角度。Y轴表示检测从量测目标反射的辐射的检测器的偏振器的偏振角
Figure BDA0002401523970000281
(以度为单位)。偏振角/>
Figure BDA0002401523970000282
定义为照射光线的电场矢量相对于s偏振方向的角度。右边的尺度表示衍射效率尺度。如图15所示,衍射效率依据偏振角而变化。在图15和图16中,假设p偏振和s偏振之间的角度为30°。
在一个实施例中,本发明的方法包括:针对一个或多个测量质量参数,评估与使用图案化过程处理的衬底的量测目标的测量相关联的多个偏振特性。图15是这种评估的结果的示例。在图15的示例中,评估的偏振特性是照射辐射和检测器的偏振器的偏振角。然而,可以评估其他偏振特性。例如,在一个实施例中,偏振特性包括延迟(即,正交偏振分量之间的相位差)和/或所使用的辐射的波长。正交偏振分量是p偏振分量和s偏振分量。
在图15的示例中,测量质量参数是衍射效率。然而,偏振特性可以针对其他测量质量参数来评估。例如,其他可能的测量质量参数包括叠层灵敏度(例如,参见图16)和重叠灵敏度(例如,参见图18)。
图16是用于在单个波长的各种偏振下测量的目标的叠层灵敏度的图。在图16中,X轴和Y轴与图15中的X轴和Y轴相同。在图15的示例中,测量质量参数是叠层灵敏度。右边的尺度是叠层灵敏度尺度。如图16所示,叠层灵敏度依据照射辐射和检测器的偏振器的偏振角而变化。
根据图15和图16所示的数据,可以选择用于量测测量的期望偏振角。在一个实施例中,该方法包括:基于测量质量参数中的一个或多个测量质量参数,从多个偏振特性中选择一个或多个偏振特性。
例如,根据图16所示的数据,对于纯p偏振可实现的最大叠层灵敏度为0.08。然而,通过变化照射辐射和检测器的偏振器的偏振角,叠层灵敏度可以大于0.08。通过控制偏振角,可以显著提高可达到的叠层灵敏度。如图16所示,可以实现的最大叠层灵敏度为0.2,其是针对纯p偏振可达到的最大叠层灵敏度的2.5倍的提高。
在一个实施例中,该选择步骤包括:选择以下的一个或多个偏振特性,该一个或多个偏振特性导致一个或多个测量质量参数在根据所评估的多个偏振特性之中而得的最大值或最小值的特定有限范围内。例如,根据图15和图16所示的数据,可以选择导致最大衍射效率和/或最大叠层灵敏度值的偏振角。
实现要选择的绝对最大衍射效率和/或叠层灵敏度值的偏振角可能不是必要的或不希望的。例如,从图15和图16可以看出,最大叠层灵敏度值可以与产生特别低的衍射效率值的偏振角相对应。关于应当使哪些测量质量参数最大(或应当接近最大值)的决定可以依据所需的测量质量来做出。可能需要在不同的期望质量之间执行权衡。
在量测测量中,存在可能重要的不同质量。一个这种质量就是可检测性。可检测性与量测测量的信噪比密切相关。量测测量的可检测性取决于量测目标的衍射效率和叠层灵敏度。衍射效率与从量测目标反射的辐射量有关。叠层灵敏度与每纳米重叠误差由量测目标所反射的辐射量的相对变化有关。因此,更高的衍射效率则导致具有更高可检测性的量测测量。同样,更高的叠层灵敏度则导致具有更高可检测性的量测测量。
量测测量的另一期望质量是量测测量的准确性。附加地,鲁棒性也是可期望的。特别地,期望量测测量对量测目标的底部光栅的任何不期望的结构非对称性相对不敏感。对量测的底部光栅的结构特征非对称性不敏感的量测测量被认为是鲁棒的。准确性和鲁棒性取决于重叠灵敏度。更高的重叠灵敏度导致准确性和鲁棒性更高的量测测量。
根据本发明,可以通过优化测量的偏振特性来优化诸如可检测性和准确性之类的质量。
在一个实施例中,该选择步骤包括:选择以下的一个或多个偏振特性,该一个或多个偏振特性导致测量质量参数中的一个或多个测量质量参数达到阈值。在一个实施例中,如果候选偏振特性导致测量质量参数中的一个或多个测量质量参数未达到阈值,则排除该候选偏振特性。例如,可以决定丢弃导致衍射效率低于0.1的偏振角。这剩下可以针对量测测量进行选择的可能偏振角的子集。
在一个实施例中,候选偏振角的子集然后可以通过考虑不同的测量质量参数来进一步缩小。例如,可以从实现0.1的衍射效率的偏振角的子集内选择实现最大(或接近最大)叠层灵敏度的偏振角。选择步骤可以以相反次序执行。例如,首先,可以通过选择导致叠层灵敏度例如在0.04的最大叠层灵敏度内的偏振角的子集来缩小候选偏振角。然后,可以通过仅选择实现至少0.05的衍射效率的偏振角来进一步缩小候选偏振角的子集。这些仅是如何可以基于所评估的数据来选择偏振特性以便提高量测测量的可检测性和准确性/鲁棒性的示例。
本发明的一个实施例期望实现量测测量的可检测性的改进。特别地,这可以通过选择导致更高的衍射效率和/或叠层灵敏度值和/或重叠灵敏度值的偏振特性来实现。
本发明的一个实施例期望实现量测测量的准确性和/或鲁棒性的增加。特别地,这可以通过选择导致更高的重叠灵敏度的偏振特性来实现。
从图15和图16可以看出,叠层灵敏度值和衍射效率值的可能范围很大。在一些情况下,依据精确的偏振角,甚至可以使叠层灵敏度为正或负。同样,依据精确的偏振角,可以使重叠灵敏度为正或负。叠层灵敏度、衍射效率和重叠灵敏度可以依据正交偏振分量之间的相位差而有大幅变化。
本发明的一个实施例期望减少标记变形(诸如量测目标的底部光栅的特征非对称性)的影响。特别地,这可以通过选择导致更大的重叠灵敏度值的偏振特性来实现。本发明的一个实施例期望加速相对于标记变形鲁棒的量测测量。特别地,标记变形的影响先前已经通过使用两个不同的辐射波长来减少。在一个实施例中,使用两个不同的偏振特性来代替两个不同的波长。偏振开关可能比波长开关快,从而加快了测量过程。更进一步地,偏振开关不会受到在两个设置之间的光学元件的色差的影响。
在一个实施例中,两个不同的偏振设置可以在单个测量中组合。例如,重叠误差可以通过使用两个不同的偏振角集合(和/或两个不同的相位差集合)来测量。特别地,通过组合提供正重叠灵敏度的偏振特性和导致负重叠灵敏度的偏振特性,对于在底部光栅中具有不期望的结构非对称性的量测目标而言,可以更准确地测量重叠误差。
在一个实施例中,评估步骤通过模拟执行。例如,图15和图16中所示的数据通过模拟获得。
图17是通过根据本发明的一个实施例的量测装置的一部分的辐射路径的图。在图17中,从左到右的方向表示用于量测测量的辐射的下游方向。在一个实施例中,量测装置包括照射偏振器171。照射偏振器171被配置为控制照射衬底W的辐射的偏振角θ。在一个实施例中,照射偏振器171是可旋转偏振器。
在一个实施例中,量测装置包括照射延迟器172。照射延迟器位于照射偏振器171和衬底W之间。照射延迟器172被配置为控制照射辐射的s偏振分量和p偏振分量之间的相位差(或椭圆率)。在一个实施例中,照射延迟器172是可旋转延迟器。
在辐射照射了衬底W之后,反射的辐射被检测器检测到。如图17中所描绘的,在一个实施例中,检测器包括检测延迟器173。该检测延迟器173被配置为在从衬底W反射的辐射的正交偏振分量之间赋予相位差。在一个实施例中,检测器包括检测偏振器174。该检测偏振器174被配置为控制在检测器处检测到的辐射的偏振。除了固定元件的机械旋转之外,电光元件(或例如,可切换液晶单元)也可以用于获得偏振器和/或延迟器的期望偏振表现。
提供延迟器不是必需的。在一个实施例中,量测装置包括照射偏振器171和/或检测偏振器174,而不包括延迟器。更进一步地,偏振器不是必需的。在一个实施例中,仅在照射分支中提供偏振器和延迟器。在一个实施例中,提供像素化光调制器,以操纵光瞳平面中的辐射的偏振。这允许基本上按照每个光瞳点而非通过所有光瞳点的一个通用设置来执行上文所描述的优化。
延迟器被配置为调整量测装置的照射分支和检测分支中的相位差。通过调整s分量和p分量之间的相位差,可以控制诸如重叠灵敏度之类的参数。图18是示出重叠灵敏度如何依据照射辐射的相位差ΔE(Y轴)和波长(X轴)而变化的图表。在图18中,相位差ΔE是照射辐射的s分量和p分量之间的相位差(以弧度为单位)。右边的尺度表示重叠灵敏度尺度。
如图18所示,重叠灵敏度取决于相位差ΔE。在图19中,示出了如何在物理上提高重叠灵敏度。重叠灵敏度取决于从底部光栅和顶部光栅反射的幅度的强度。假设检测器偏振被设置为以便仅检测s分量,则检测器处的S分量由从照射光线的s到s未转换部分以及从照射光线的p到s转换部分所产生,这两者在检测器处将相干叠加在一起。通过调整照射部分处的延迟,可以加强相干叠加。因此,可以提高重叠灵敏度。非偏振照射辐射具有快速的随机相位差。快速随机相位差的影响相互抵消,并且实际上两个偏振非相干叠加。然而,可以控制偏振照射辐射的相位差ΔE。例如,可以调整相位差ΔE来使重叠灵敏度最大。相位差ΔE与椭圆率有关。
在一个实施例中,该方法与目标设计优化相结合。特别地,在一个实施例中,该方法包括:选择量测目标的间距和可选地其他特征,以便使测量质量参数中的一个或多个测量质量参数最大化。在一个实施例中,选择目标光栅线的子分割的形状以修改和优化光栅中的每个光栅的偏振性质。
本发明的一个实施例可以采用以下形式:计算机程序,其包含描述本文中所公开的方法的一个或多个机器可读指令序列;或数据存储介质(例如,半导体存储器、磁盘或光盘),其中存储有这种计算机程序。进一步地,机器可读指令可以体现在两个或更多个计算机程序中。两个或多个计算机程序可以存储在一个或多个不同的存储器和/或数据存储介质上。
本文中所公开的一个或多个方面可以在控制***中实现。当位于装置的至少一个部件内的一个或多个计算机处理器读取一个或多个计算机程序时,本文中所描述的任何控制***可以各自操作或组合操作。控制***可以各自或组合地具有用于接收、处理和发送信号的任何合适配置。一或多个处理器被配置为与控制***中的至少一个控制***通信。例如,每个控制***可以包括一个或多个处理器,以用于执行计算机程序,该计算机程序包括用于上文所描述的方法的机器可读指令。控制***可以包括用于存储这种计算机程序的数据存储介质和/或用于接收这种介质的硬件。因此,(多个)控制***可以根据一个或多个计算机程序的机器可读指令进行操作。
在以下编号的条款中对根据本发明的其他实施例进行进一步的描述:
1.一种方法,包括:
针对一个或多个测量质量参数,评估与使用图案化过程所处理的衬底的量测目标的测量相关联的多个偏振特性;以及
基于所述测量质量参数中的一个或多个测量质量参数来从所述多个偏振特性中选择一个或多个偏振特性。
2.根据条款1所述的方法,其中所述偏振特性包括偏振角和/或正交偏振分量之间的相位差。
3.根据条款2所述的方法,其中所述偏振角包括在测量所述量测目标时照射所述量测目标的辐射的偏振角。
4.根据条款2或3所述的方法,其中所述偏振角包括检测器的偏振器的偏振角,该检测器检测在测量量测目标时从量测目标反射的辐射。
5.根据条款2至4中任一项所述的方法,其中偏振特性包括在测量量测目标时照射量测目标的辐射的正交偏振之间的相位差。
6.根据条款2至5中任一项所述的方法,其中偏振特性包括由检测器的延迟器赋予的相位差,该检测器检测在测量量测目标时从量测目标反射的辐射。
7.根据任一前述条款所述的方法,其中测量质量参数包括以下各项中的一项或多项:叠层灵敏度、重叠灵敏度和衍射效率。
8.根据任一前述条款所述的方法,其中选择步骤包括:从多个偏振特性中选择以下的一个或多个偏振特性,该一个或多个偏振特性导致测量质量参数中的一个或多个测量质量参数在根据所评估的多个偏振特性之中而得的最大值或最小值的特定有限范围内。
9.根据任一前述条款所述的方法,其中选择步骤包括:从多个偏振特性中选择以下的一个或多个偏振特性,该一个或多个偏振特性导致测量质量参数中的一个或多个测量质量参数达到阈值。
10.根据条款9所述的方法,其中选择步骤包括:从多个偏振特性中选择以下的一个或多个偏振特性,该一个或多个偏振特性导致测量质量参数中另外的一个或多个测量质量参数在根据所评估的多个偏振特性之中而得的最大值或最小值的特定有限范围内,该评估的多个偏振特性导致质量测量参数中的所述一个或多个测量质量参数达到阈值。
11.根据任一前述条款所述的方法,其中评估步骤通过模拟来执行。
12.一种测量方法,包括:根据从根据任一前述条款所述的方法中选择的一个或多个偏振角来测量衬底上的量测目标。
13.一种用于测量光刻过程的参数的量测装置,该量测装置可操作以执行根据任一前述条款所述的方法。
14.一种非暂态计算机程序产品,包括机器可读指令,其用于使得处理器执行根据条款1-12中任一项所述的方法。
15.一种***,包括:
检查装置,其被配置为在衬底上的两个相邻的周期性结构或测量目标上提供辐射束,并且检测由目标衍射的辐射以确定图案化过程的参数;以及
根据条款14所述的非暂态计算机程序产品。
16.根据条款15所述的***,还包括光刻装置,该光刻装置包括支撑结构,其被配置为保持图案化设备以调制辐射束;以及投影光学***,其被布置为将调制后的辐射束投射到辐射敏感衬底上。
17.一种优化量测测量的方法,包括:
根据条款1-12中任一项所述的方法;以及
选择量测目标的节距和其他特征,以便使测量质量参数中的一个或多个测量质量参数最大。
尽管可能已经具体参考了实施例在光学光刻的环境中的使用,但是将会理解,本发明的实施例可以用于其他应用,例如,压印光刻,并且在上下文允许的情况下,不限于光学光刻。在压印光刻的情况下,图案化设备中的形貌定义衬底上产生的图案。图案化设备的形貌可以压入到被供应至衬底的抗蚀剂层中,然后通过施加电磁辐射、热、压力或其组合来使抗蚀剂固化。图案化设备移出抗蚀剂,从而在抗蚀剂固化之后,在该抗蚀剂中留下图案。
本文中所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,其包括紫外线(UV)辐射(例如,具有或约为365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外线(EUV)辐射(例如,具有范围介于5nm和20nm之间的波长)以及粒子束(诸如离子束或电子束)。
在上下文允许的情况下,术语“透镜”可以是指各种类型的光学部件中的任一种或组合,这些光学部件包括折射、反射、磁性、电磁和静电光学部件。
特定实施例的以上描述揭示了本发明的实施例的一般性质,使得其他人可以在不偏离本发明的一般构思的情况下,通过应用本领域内的知识,容易地针对这种具体实施例的各种应用进行修改和/或调整,而无需过度实验。因此,基于本文中所提出的教导和指导,这种调整和修改旨在在所公开的实施例的等同物的含义和范围内。应当理解,本文中的措词或术语是为了通过示例进行描述的目的,而非限制,使得本说明书的术语或措辞要由技术人员根据教导和指导进行解释。
本发明的广度和范围不应由任何上述示例性实施例限制,而应仅根据所附权利要求及其等同来限定。

Claims (13)

1.一种用于选择一个或多个偏振特性的方法,包括:
针对一个或多个测量质量参数,评估与使用图案化过程所处理的衬底的量测目标的测量相关联的多个偏振特性,其中所述偏振特性包括偏振角;以及
基于所述测量质量参数中的一个或多个测量质量参数,从所述多个偏振特性中选择一个或多个偏振特性;
其中所述选择步骤包括:
从所述多个偏振特性中选择以下的一个或多个偏振特性,所述一个或多个偏振特性导致一个或多个所述测量质量参数达到阈值;以及
从所述多个偏振特性中选择以下的一个或多个偏振特性,所述一个或多个偏振特性导致另外的一个或多个所述测量质量参数在根据所评估的多个偏振特性之中而得的最大值或最小值的特定有限范围内,所评估的多个偏振特性导致所述质量测量参数中的一个或多个所述测量质量参数达到阈值。
2.根据权利要求1所述的方法,其中所述偏振特性还包括正交偏振分量之间的相位差。
3.根据权利要求1所述的方法,其中所述偏振角包括在测量所述量测目标时照射所述量测目标的辐射的偏振角。
4.根据权利要求1-3中任一项所述的方法,其中所述偏振角包括检测器的偏振器的偏振角,所述检测器检测在测量所述量测目标时从所述量测目标反射的辐射。
5.根据权利要求2至3中任一项所述的方法,其中所述偏振特性包括在测量所述量测目标时照射所述量测目标的辐射的正交偏振之间的相位差。
6.根据权利要求2至3中任一项所述的方法,其中所述偏振特性包括由检测器的延迟器赋予的相位差,所述检测器检测在测量所述量测目标时从所述量测目标反射的辐射。
7.根据权利要求1-3中任一项所述的方法,其中所述测量质量参数包括以下各项中的一项或多项:叠层灵敏度、重叠灵敏度和衍射效率。
8.根据权利要求1-3中任一项所述的方法,其中所述选择步骤包括:从所述多个偏振特性中选择以下的一个或多个偏振特性,所述一个或多个偏振特性导致一个或多个所述测量质量参数在根据所评估的多个偏振特性之中而得的最大值或最小值的特定有限范围内。
9.根据权利要求1-3中任一项所述的方法,其中所述评估步骤通过模拟来执行。
10.一种测量方法,包括:根据从根据任一前述权利要求所述的方法中选择的所述一个或多个偏振角来测量衬底上的量测目标。
11.一种用于测量光刻过程的参数的量测装置,所述量测装置能够操作以执行根据任一前述权利要求所述的方法。
12.一种非暂态计算机可读介质,具有机器可读指令存储于其上,所述机器可读指令用于使得处理器执行根据权利要求1-10中任一项所述的方法。
13.一种量测***,包括:
检查装置,其被配置为在衬底上的两个相邻周期性结构或测量目标上提供辐射束,并且检测由所述目标衍射的辐射以确定图案化过程的参数;以及
根据权利要求12所述的非暂态计算机可读介质。
CN201880057786.7A 2017-09-06 2018-08-17 量测方法和装置 Active CN111065970B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17189662.4A EP3454123A1 (en) 2017-09-06 2017-09-06 Metrology method and apparatus
EP17189662.4 2017-09-06
PCT/EP2018/072294 WO2019048214A1 (en) 2017-09-06 2018-08-17 METHOD AND APPARATUS FOR METROLOGY

Publications (2)

Publication Number Publication Date
CN111065970A CN111065970A (zh) 2020-04-24
CN111065970B true CN111065970B (zh) 2023-03-31

Family

ID=59811114

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880057786.7A Active CN111065970B (zh) 2017-09-06 2018-08-17 量测方法和装置

Country Status (8)

Country Link
US (1) US10620550B2 (zh)
EP (1) EP3454123A1 (zh)
JP (1) JP7110327B2 (zh)
KR (2) KR102565829B1 (zh)
CN (1) CN111065970B (zh)
IL (1) IL273001B2 (zh)
TW (1) TWI716729B (zh)
WO (1) WO2019048214A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019063245A1 (en) 2017-09-28 2019-04-04 Asml Netherlands B.V. LITHOGRAPHIC METHOD
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
WO2021001109A1 (en) * 2019-07-03 2021-01-07 Asml Netherlands B.V. Method for applying a deposition model in a semiconductor manufacturing process
US11487929B2 (en) * 2020-04-28 2022-11-01 Kla Corporation Target design process for overlay targets intended for multi-signal measurements
WO2023067777A1 (ja) * 2021-10-21 2023-04-27 ギガフォトン株式会社 電子デバイスの製造方法及びリソグラフィ制御プロセッサ

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻***以及光刻处理单元
SG178368A1 (en) 2009-08-24 2012-04-27 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
JP5377595B2 (ja) 2011-03-25 2013-12-25 富士フイルム株式会社 着色感放射線性組成物、カラーフィルタ、着色パターンの製造方法、カラーフィルタの製造方法、固体撮像素子、及び液晶表示装置
NL2009294A (en) * 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
KR102235615B1 (ko) * 2014-07-29 2021-04-02 삼성전자주식회사 노광 공정 계측용 기판 타겟 및 노광 공정 계측 방법과 이를 이용한 집적회로 소자의 제조 방법
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
CN107533299B (zh) * 2015-04-10 2021-04-02 Asml荷兰有限公司 用于检测及量测的方法与装置
KR102607646B1 (ko) * 2015-05-19 2023-11-29 케이엘에이 코포레이션 오버레이 측정을 위한 지형 위상 제어
WO2017099843A1 (en) * 2015-12-08 2017-06-15 Kla-Tencor Corporation Control of amplitude and phase of diffraction orders using polarizing targets and polarized illumination
KR20180095605A (ko) * 2015-12-17 2018-08-27 에이에스엠엘 네델란즈 비.브이. 스캐터로메트리에서의 편광 튜닝
NL2017949A (en) * 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology method, target and substrate
EP3299890A1 (en) 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection

Also Published As

Publication number Publication date
KR102565829B1 (ko) 2023-08-09
TW201920939A (zh) 2019-06-01
EP3454123A1 (en) 2019-03-13
WO2019048214A1 (en) 2019-03-14
JP2020532759A (ja) 2020-11-12
KR20220051433A (ko) 2022-04-26
CN111065970A (zh) 2020-04-24
TWI716729B (zh) 2021-01-21
JP7110327B2 (ja) 2022-08-01
US10620550B2 (en) 2020-04-14
IL273001A (en) 2020-04-30
IL273001B1 (en) 2023-06-01
KR20200032749A (ko) 2020-03-26
US20190072859A1 (en) 2019-03-07
IL273001B2 (en) 2023-10-01

Similar Documents

Publication Publication Date Title
JP6577086B2 (ja) メトロロジ方法および装置、リソグラフィシステムならびにデバイス製造方法
CN109791367B (zh) 量测选配方案选择
TWI643032B (zh) 用於度量衡目標場之設計之方法與裝置
KR101461457B1 (ko) 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN110050233B (zh) 用于预测测量方法的性能的方法和设备、测量方法和设备
CN110998455B (zh) 量测参数确定和量测配方选择
CN111065970B (zh) 量测方法和装置
KR102416276B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
US7630087B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR20150089082A (ko) 도즈 및 포커스를 결정하는 방법, 검사 장치, 패터닝 디바이스, 기판 및 디바이스 제조 방법
TWI756417B (zh) 度量衡參數判定及度量衡配方選擇
KR20180095605A (ko) 스캐터로메트리에서의 편광 튜닝

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant