CN110954842A - 测试***、用于测试***的测试方法以及测试载具 - Google Patents

测试***、用于测试***的测试方法以及测试载具 Download PDF

Info

Publication number
CN110954842A
CN110954842A CN201910924120.3A CN201910924120A CN110954842A CN 110954842 A CN110954842 A CN 110954842A CN 201910924120 A CN201910924120 A CN 201910924120A CN 110954842 A CN110954842 A CN 110954842A
Authority
CN
China
Prior art keywords
switch
terminal
control
test
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910924120.3A
Other languages
English (en)
Other versions
CN110954842B (zh
Inventor
李衡
曾志铭
张道智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Industrial Technology Research Institute ITRI
Original Assignee
Industrial Technology Research Institute ITRI
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from TW108111971A external-priority patent/TWI708064B/zh
Application filed by Industrial Technology Research Institute ITRI filed Critical Industrial Technology Research Institute ITRI
Publication of CN110954842A publication Critical patent/CN110954842A/zh
Application granted granted Critical
Publication of CN110954842B publication Critical patent/CN110954842B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/40Testing power supplies
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2601Apparatus or methods therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2607Circuits therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/27Testing of devices without physical removal from the circuit of which they form part, e.g. compensating for effects surrounding elements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Electric Properties And Detecting Electric Faults (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明提供测试***、用于测试***的测试方法以及测试载具。测试***包括电源供应电路、控制端电路以及控制器。控制器用以控制电源供应电路以及控制端电路以对功率模块进行多个测试项目的测试。电源供应电路反应于控制器的控制提供对应于上述多个测试项目的多个电源路径。控制端电路反应于控制器的控制对应于上述多个测试项目的提供多个控制路径,藉以缩短功率模块的测试时程。

Description

测试***、用于测试***的测试方法以及测试载具
技术领域
本发明是有关于一种用以对功率模块进行测试的测试***、测试方法以及测试载具,且特别是有关于一种可缩短测试时程的测试***、测试方法以及测试载具。
背景技术
目前用于功率模块的测试平台大多是依据不同的测试项目而分门别类地进行设立,以量测功率模块对此测试项目的电性规格的耐受度或运行情况。这些测试项目例如是静态(static)测试、动态(dynamic)测试以及电容测试等。然而,如果要让测试平台符合另一个测试项目以检验另一电性规格时,则需要对测试平台进行大幅度的调整。理由是每个测试项目所需的电压、电流、甚至测试平台所需的测试验证路径都不完全相同。因此,在测试多种电性规格的功率模块,必须要对测试平台进行对应地调整,也就是客制化调整。
此外,目前的测试平台并没有将不同测试项目(如,对静态测试的装置、动态测试以及电容测试)的测试平台进行整合,因此功率模块的研发人员仍需耗费大量的测试时间来得知这些功率模块的电性规格,才能对功率模块进行针对性地改良,这将大幅延长对功率模块的研发时间。
发明内容
本发明提供一种测试***、测试方法以及测试载具。本发明可整合多种测试项目于同一个测试架构,也兼容于测试多种高功率的功率模块。本发明可缩短功率模块的测试时程。
本发明提供一种测试***。测试***用以对一功率模块进行测试,并提供测试后的判定结果,测试***包括电源供应电路、控制端电路以及控制器。电源供应电路具有电源供应开关群。电源供应电路经由电源供应开关群接收多个电源。控制端电路具有控制端开关群。控制端电路经由控制端开关群接收多个控制信号。控制器耦接至电源供应电路以及控制端电路。控制器用以控制电源供应开关群以及控制端开关群,使电源供应电路反应于控制器的控制提供多个电源路径,并且使控制端电路反应于控制器的控制提供多个控制路径,藉以对功率模块进行测试。测试***藉由电源供应电路所提供的上述多个电源路径的至少一个第一电源路径以及控制端电路所提供的上述多个控制路径的至少一个第一控制路径对功率模块进行第一测试项目,并提供对应于第一测试项目的判定结果。
本发明提供一种用于测试***的测试方法。测试***用于测试一功率模块。测试方法包括:建立用以支持多个测试项目的控制程序;定义测试***的电源供应电路的电源供应开关群的脚位,并定义测试***的控制端电路的控制端开关群的脚位;从多个测试项目中选择第一测试项目,藉由控制程序控制电源供应开关群以及控制端开关群,使电源供应电路反应于测试***的控制器的控制提供对应于第一测试项目的多个电源路径,并且使控制端电路反应于控制器的控制提供对应于第一测试项目的多个控制路径;对上述多个电源路径提供多个电源,并且对上述多个控制路径提供多个控制信号;以及藉由控制程序对功率模块进行测试,提供对应于第一测试项目的多个测试数据,并依据上述多个测试数据提供测试后的一判定结果。
本发明还提供一种测试载具。测试载具用以对一功率模块进行测试。测试载具包括上述的测试***、第一电路板、第二电路板以及功率模块载体。测试***的电源供应电路的电源供应开关群至少包括为第一开关群以及第二开关群。测试***的控制端电路的控制端开关群至少包括第三开关群以及第四开关群。第一电路板用以容置第一开关群或第三开关群。第二电路板用以容置第二开关群或第四开关群。功率模块载体用以容置功率模块。在进行第一测试项目时,第一电路板与功率模块电性连接,使第一开关群反应于控制器的控制以提供至少一个第一控制路径,并且使第二开关群反应于控制器的控制以提供至少一个第一电源路径。在进行第二测试项目时,第二电路板与功率模块电性连接,使第三开关群反应于控制器的控制以提供至少一个第二控制路径,并且使第四开关群反应于控制器的控制以提供至少一个第二电源路径。
基于上述,测试***藉由电源供应电路所提供的多个电源路径的多个电源路径以及控制端电路所提供的多个控制路径的多个控制路径对功率模块进行多个测试项目,并提供对应于多个测试项目的判定结果。如此一来,本发明可整合多种测试项目于同一个测试架构,也兼容于测试多种高功率的功率模块。本发明可缩短功率模块的测试时程。
为让本发明的上述特征和优点能更明显易懂,下文特举实施例,并配合所附图式作详细说明如下。
附图说明
图1是根据本发明实施例所示出的测试***的示意图。
图2是根据本发明实施例所示出的控制端电路的第一部分以及电源供应电路的第一部分的布局示意图。
图3是根据本发明实施例所示出的功率模块的电路示意图。
图4是根据本发明实施例所示出的第一测试项目的电路示意图。
图5是根据本发明实施例所示出的控制端电路的第二部分的布局示意图。
图6是根据本发明实施例所示出的第二测试项目的电路示意图。
图7是根据本发明实施例所示出的控制端电路的第三部分以及电源供应电路的第二部分的布局示意图。
图8是根据本发明实施例所示出的第三测试项目的电路示意图。
图9是根据本发明实施例所示出的控制端电路的第四部分以及电源供应电路的第三部分的布局示意图。
图10是根据本发明实施例所示出的第四测试项目的电路示意图。
图11是根据本发明实施例所示出的控制端电路的第五部分以及电源供应电路的第四部分的布局示意图。
图12是根据本发明实施例所示出的第四测试项目的电路示意图。
图13是根据本发明实施例所示出的测试方法流程图。
图14是根据本发明实施例所示出的测试载具的示意图。
图15是根据本发明实施例所示出的电路板、功率模块载体的配置示意图。
图16是根据本发明实施例所示出的固定件的示意图。
图17A、17B分别是根据本发明实施例所示出的电性连接件与多个电性连接点以积木式堆栈的方式进行组装的示意图。
图18A是根据本发明实施例所示出的测试载具的示意图。
图18B是根据本发明实施例所示出的测试载具的局部示意图。
图18C是根据本发明实施例所示出的测试载具的局部示意图。
附图标记列表
110:测试***
112:电源供应电路
112_1:电源供应电路的第一部分
112_2:电源供应电路的第二部分
112_3:电源供应电路的第三部分
112_4:电源供应电路的第四部分
114:控制端电路
114_1:控制端电路的第一部分
114_2:控制端电路的第二部分
114_3:控制端电路的第三部分
114_4:控制端电路的第四部分
114_5:控制端电路的第五部分
116:控制器
BP:底板
CC:充电电容
C(FOD)、C(SC)、NC、VFO:控制端
CON1、CON2:电性连接件
D:二极管
DR:放电电阻
D1~D4:驱动器
EP1、EP3:第一电路板
EP2、EP4:第二电路板
F1~F4:固定件
FB1:固定件本体
ISO1:高压导电绝缘垫片
L1、L2:电感
LCRM:电感电容电阻测试仪
P1~P6:弯折点
P11、P21~P23、P31、P41:控制路径
P12、P13、P32、P33、P42、P43:电源路径
PM、PM1:功率模块
PMC1、PMC2:功率模块载体
PULS:脉波信号
PULS1:第一脉波信号
PULS2:第二脉波信号
S110~S170:步骤
SW101~SW138、SW201~S206、SW301~SW329、SW401~SW422、SW501~SW517:开关
SUP1~SUP6:支撑件
TR1、TRW、TRV、TRU:上臂晶体管
TR2、TRNW、TRNV、TRNU:下臂晶体管
Vcc:电源输入端
COM:共享端
Vin、Vin_1、Vin_2、Vin_3:信号输入端
Vout、Vout_1、Vout_2、Vout_3:输出端
VP1、VP2、VP3、VP4、VP5:电源
Vs、Vs_1:参考电源端
具体实施方式
本发明的测试***可整合多种测试项目于同一个测试架构,并提供测试后的判定结果,也兼容于测试多种高功率的功率模块。功率模块可例如是应用于高功率(例如是电压值等于600伏特、1200伏特、1700伏特和/或电流值大于30安培)的电源整流装置、电源转换装置等装置。
进一步来说明测试***,请参考图1,图1是根据本发明实施例所示出的测试***的示意图。在本实施例中,测试***110包括电源供应电路112、控制端电路114以及控制器116。电源供应电路112具有电源供应开关群,并且经由电源供应开关群接收多个电源。在本实施例中,电源供应开关群的多个开关可例如是继电器(relay)。控制端电路114具有控制端开关群,并且经由控制端开关群接收多个控制信号。控制器116耦接至电源供应电路112以及控制端电路114。在本实施例中,控制端开关群的多个开关可例如是继电器。控制器116用以控制电源供应开关群以及控制端开关群,使电源供应电路112反应于控制器116的控制提供多个电源路径,并且使控制端电路114反应于控制器116的控制提供多个控制路径,藉以对功率模块PM进行测试。
在本实施例中,测试***110藉由电源供应电路112所提供的多个电源路径的至少一个第一电源路径以及控制端电路114所提供的多个控制路径的至少一个第一控制路径对功率模块PM进行第一测试项目,并提供对应于第一测试项目的判定结果。测试***110还可以藉由上述多个电源路径的至少一个第二电源路径以及上述多个控制路径的至少一个第二控制路径对功率模块PM进行第二测试项目,并提供对应于第二测试项目的判定结果,依此类推。测试***110可用于对功率模块PM进行例如静态(Static)测试项目、动态(dynamic)测试项目以及电容测试项目等测试项目,藉以检验功率模块PM的实际功率及电压电流耐受性。因此,测试***110可有效地缩短功率模块PM的所有测试项目的整体测试时间。
在本实施例中,测试***110可对功率模块PM进行静态(static)测试项目以及电容测试项目之后,再进行动态(dynamic)测试项目。本发明可以依据实际的测试需求对上述多个测试项目进行选择以及调整测试项目的测试顺序。本发明并不以本实施例为限。
具体来说明,请同时参考图1至图4以及表1,图2是根据本发明实施例所示出的控制端电路的第一部分以及电源供应电路的第一部分的布局示意图。图3是根据本发明实施例所示出的功率模块的电路示意图。图4是根据本发明实施例所示出的第一测试项目的电路示意图。表1是控制端电路以及电源供应电路在第一测试项目所使用到的开关的耦接关系表。
表1:
Figure BDA0002218480880000061
Figure BDA0002218480880000071
在本实施例中,控制端电路114的第一部分114_1中,控制端开关群至少包括开关SW101~SW114。电源供应电路112的第一部分112_1中,电源供应开关群至少包括开关SW115~SW138。功率模块PM包括上臂晶体管TR1以及下臂晶体管TR2。
在本实施例中,上臂晶体管TR1以及下臂晶体管TR2可分别是藉由硅(silicon)制造工艺所制造的绝缘栅双极晶体管(Insulated Gate Bipolar Transistor,IGBT)或金属氧化物半导体场效晶体管(Metal-Oxide-Semiconductor Field-Effect Transistor,MOSFET),或是藉由碳化硅(SiC)、氮化镓(GaN)、氧化镓(Ga2O3)、氮化铝(AlN)及钻石(Diamond)等寬能隙(wide bandbgap)半導體基材的至少一者所制造的绝缘栅双极晶体管或金属氧化物半导体场效晶体管。测试***110可藉由至少一个第一电源路径以及至少一个第一控制路径对上臂晶体管TR1以及下臂晶体管TR2进行第一测试项目。
举例来说,第一测试项目是静态(static)测试项目的其中之一。在对上臂晶体管TR1进行第一测试项目时,控制端开关群的开关SW106(例如是,专利申请范围所示的第一开关)的第一端耦接至上臂晶体管TR1的控制端(即,栅极),开关SW106的第二端耦接至上臂晶体管TR1的发射极或源极(即,专利申请范围所示的上臂晶体管的第二端),开关SW128(例如是,专利申请范围所示的第二开关)的第一端耦接至上臂晶体管TR1的集电极或漏极(即,专利申请范围所示的上臂晶体管的第一端),开关SW128的第二端耦接至电源VP1(例如是1200伏特),开关SW120(例如是,专利申请范围所示的第三开关)的第一端耦接至上臂晶体管TR1的发射极或源极,开关SW120的第二端耦接至参考电源(例如是接地端)。控制端电路114反应于控制器116的控制导通开关SW106以提供第一控制路径的其中之一(即,控制路径P11),电源供应电路112反应于控制器116的控制导通开关SW128以提供至少一个第一电源路径的其中之一(即,电源路径P12)并且导通第三开关SW120以提供至少一个第一电源路径的其中另一(即,电源路径P13)。
在对上臂晶体管TR1进行上述第一测试项目时,由于开关SW106被导通,因此上臂晶体管TR1进入会断开状态。上臂晶体管TR1的集电极或漏极经由电源路径P12接收电源VP1。因此,测试***110可藉由第一测试项目测试上臂晶体管TR1的集电极与发射极之间(或漏极与源极)的漏电流。
除此之外,对上臂晶体管TR1进行测试的角度来说,静态(static)测试项目至少还包括上臂晶体管TR1的控制端漏电流测试(Iges)、阀值电压测试、集电极与发射极(或漏极与源极)之间的饱和电压测试、集电极与发射极(或漏极与源极)之间的漏电流测试以及控制端的电荷累积测试等测试项目。
请同时参考图1、图5、图6以及表2,图5是根据本发明实施例所示出的控制端电路的第二部分的布局示意图。图6是根据本发明实施例所示出的第二测试项目的电路示意图。表2是控制端电路在第二测试项目所使用到的开关的耦接关系表。
表2:
Figure BDA0002218480880000081
在本实施例中,控制端电路114的第二部分114_2中,控制端开关群至少包括开关SW201~SW206。控制端电路114还用以反应于控制器116的控制提供多个控制路径的至少一个第二控制路径。测试***110藉由控制端电路114所提供的至少一个第二控制路径对功率模块PM进行第二测试项目。
举例来说,第二测试项目是电容测试项目的其中之一。在对上臂晶体管TR1进行第二测试项目时,控制端开关群的开关SW201~SW206中,开关SW201(例如是,专利申请范围所示的第四开关)的第一端耦接至电感电容电阻测试仪LCRM的第一端,开关SW201的第二端耦接至上臂晶体管TR1的集电极或漏极,开关SW204(例如是,专利申请范围所示的第五开关)的第一端耦接至电感电容电阻测试仪LCRM的第二端,开关SW204的第二端用以耦接至上臂晶体管TR1的控制端。开关SW202(例如是,专利申请范围所示的第六开关)的第一端耦接至上臂晶体管TR1的控制端,开关SW202的第二端耦接至上臂晶体管TR1的发射极或源极。开关SW201、SW202、SW204反应于控制器116的控制而被导通,藉以分别提供多个控制路径的第二控制路径的其中之一。开关SW201被导通以提供控制路径P21。开关SW202被导通以提供控制路径P22。开关SW204被导通以提供控制路径P23。因此,测试***110在第二测试项目测试中可利用电感电容电阻测试仪LCRM测量上臂晶体管TR1的输出电容。
除此之外,对上臂晶体管TR1进行测试的角度来说,电容测试项目至少还包括上臂晶体管TR1的输出电容测试以及反向转换电容测试等。
在本实施例中,用于第二测试项目的多个***作的开关SW201~SW206与用于第一测试项目的多个***作的开关SW101~SW138是不同的开关。也就是控制端电路114的第一部分114_1、第二部分114_2以及电源供应电路112的第一部分112_1是不同的部分。在一些实施例中,用于第二测试项目的多个***作的开关SW201~SW206与用于第一测试项目的多个***作的开关SW101~SW138是不完全相同的。
请同时参考图1、图7、图8以及表3,图7是根据本发明实施例所示出的控制端电路的第三部分以及电源供应电路的第二部分的布局示意图。图8是根据本发明实施例所示出的第三测试项目的电路示意图。表3是控制端电路以及电源供应电路在第三测试项目所使用到的开关的耦接关系表。
表3:
Figure BDA0002218480880000091
Figure BDA0002218480880000101
在本实施例中,控制端电路114的第三部分114_3中,控制端开关群至少包括开关SW301~SW318。电源供应电路112的第二部分112_2中,电源供应开关群至少包括开关SW319~SW329。电源供应电路112还用以反应于控制器116的控制提供多个电源路径的至少一个第二电源路径。控制端电路114还用以反应于控制器116的控制提供多个控制路径的至少一个第三控制路径。测试***110藉由至少一个第二电源路径以及至少一个第三控制路径对功率模块PM进行第三测试项目。
举例来说,第一测试项目是动态(dyanmic)测试项目的其中之一。在对上臂晶体管TR1进行第三测试项目时,控制端开关群的开关SW306(例如是,专利申请范围所示的第七开关)的第一端用以接收第一脉波信号PULS1,开关SW306的第二端耦接至上臂晶体管TR1的控制端。开关SW315(例如是,专利申请范围所示的第八开关)的第一端用以接收第二脉波信号PULS2,开关SW315的第二端耦接至下臂晶体管TR2的控制端。测试***110藉由双脉冲测试(double pulse testing)法对上臂晶体管TR1以及对下臂晶体管TR2进行测试。此外,电源供应开关群的开关SW319(例如是,专利申请范围所示的第九开关)的第一端耦接至上臂晶体管TR1的集电极或漏极。第九开关SW319的第二端经由开关SW326(例如是,专利申请范围所示的第十开关)耦接至电源VP2(例如是600伏特)。开关SW320(例如是,专利申请范围所示的第十一开关)的第一端耦接至上臂晶体管TR1的集电极或漏极。开关SW321(例如是,专利申请范围所示的第十二开关)的第一端耦接至上臂晶体管TR1的发射极或源极。开关SW321的第二端经由电感L1耦接至开关SW320的第二端。开关SW322(例如是,专利申请范围所示的第十三开关)的第一端耦接至开关SW321的第二端。开关SW322的第二端耦接至下臂晶体管TR2的集电极或漏极(即,专利申请范围所示的下臂晶体管的第一端)。开关SW323(例如是,专利申请范围所示的第十四开关)的第一端耦接至开关SW320的第二端。开关SW323的第二端耦接至下臂晶体管TR2的发射极或源极(即,专利申请范围所示的下臂晶体管的第二端)。开关SW324(例如是,专利申请范围所示的第十五开关)的第一端耦接至下臂晶体管TR2的发射极或源极。开关SW324的第二端经由开关SW325(例如是,专利申请范围所示的第十六开关)耦接至参考电压。开关SW324的第二端还经由充电电容CC以及放电电阻DR耦接至开关SW319的第二端。充电电容CC与放电电阻DR并联耦接。
在此例中,在下臂晶体管TR2进行第三测试项目时,控制端电路114反应于控制器116的控制导通开关SW315以提供至少一个第二控制路径的其中之一(即,控制路径P31),电源供应电路112反应于控制器116的控制导通开关SW319、开关SW326、开关SW320以及开关SW322以提供至少一个第三电源路径的其中之一(即,电源路径P32),并且导通开关SW324以及开关SW325以提供至少一个第三电源路径的其中另一(即,电源路径P33)。
在本实施例中,用于第三测试项目的多个***作的开关SW301~SW329与用于第一测试项目的多个***作的开关SW101~SW138是不同的开关。用于第三测试项目的多个***作的开关SW301~SW329与用于第二测试项目的多个***作的开关SW201~SW206是不同的开关。也就是控制端电路114的第一部分114_1、第二部分114_2以及第三部分114_3是不同的部分。电源供应电路112的第一部分112_1以及第二部分112_2是不同的部分。如此一来,用于第三测试项目的多个***作的开关在一些实施例中,用于第三测试项目的多个***作的开关SW301~SW329与用于第一测试项目的多个***作的开关SW101~SW138是不完全相同的。在一些实施例中,用于第三测试项目的多个***作的开关SW301~SW329与用于第二测试项目的多个***作的开关SW201~SW206是不完全相同的。
本发明还能够对其他形式的功率模块进行测试。请同时参考图1、图9、图10以及表4,图9是根据本发明实施例所示出的控制端电路的第四部分以及电源供应电路的第三部分的布局示意图。图10是根据本发明实施例所示出的第四测试项目的电路示意图。表4是控制端电路以及电源供应电路在第四测试项目所使用到的开关的耦接关系表。
表4:
Figure BDA0002218480880000121
Figure BDA0002218480880000131
在本实施例中,功率模块PM1可例如是整合型功率模块(integrated powermodule,IPM)。功率模块PM1至少包括上臂晶体管TRW、TRV、TRU、下臂晶体管TRNW、TRNV、TRNU以及驱动器D1~D4。驱动器D1~D4分别用以驱动上臂晶体管TRW、TRV、TRU的至少其中之一以及下臂晶体管TRNW、TRNV、TRNU的至少其中之一。在本实施例中,驱动器D1耦接于上臂晶体管TRW的控制端,藉以驱动上臂晶体管TRW。驱动器D2耦接于上臂晶体管TRV的控制端,藉以驱动上臂晶体管TRV。驱动器D3耦接于上臂晶体管TRU的控制端,藉以驱动上臂晶体管TRU。驱动器D4耦接于下臂晶体管TRNW、TRNV、TRNU的控制端,藉以驱动下臂晶体管TRNW、TRNV、TRNU的至少其中之一。在本实施例中,控制端电路114还包括第四部分114_4。电源供应电路112还包括第三部分112_3。
在控制端电路114的第四部分114_4中,控制端开关群至少包括开关SW401~SW406。在电源供应电路112的第三部分112_3中,电源供应开关群至少包括开关SW407~SW422。举例来说,第四测试项目是静态(static)测试项目的其中之一。在上臂晶体管TRW进行第四测试项目时,开关SW401(例如是,专利申请范围所示的第十七开关)的第一端耦接至参考电源(例如是接地端)。开关SW401的第二端耦接至驱动器D1的电源输入端Vcc。开关SW407(例如是,专利申请范围所示的第十八开关)的第一端耦接至上臂晶体管TRW的集电极或漏极(即,专利申请范围所示的第一上臂晶体管的第一端),开关SW407的第二端耦接至电源VP3。开关SW408(例如是,专利申请范围所示的第十九开关)的第一端耦接至上臂晶体管TRW的发射极或源极(即,专利申请范围所示的第一上臂晶体管的第二端),开关SW408的第二端耦接至参考电源。控制端电路114反应于控制器116的控制导通开关SW401以提供至少一个控制路径的其中之一(即,控制路径P41),藉以禁能驱动器D1,电源供应电路112反应于控制器116的控制导通开关SW407以提供至少一个第一电源路径的其中之一(即,电源路径P42)并且导通开关SW408以提供至少一个第一电源路径的其中另一(即,电源路径P43)。在此例中,驱动器D1的电源输入端Vcc因为经由接收到参考电源而被禁能,因此上臂晶体管TRW被断开。上臂晶体管TRW的集电极或漏极经由电源路径P42接收电源VP3。因此,测试***110可藉由第四测试项目测试上臂晶体管TRW的集电极与发射极(或漏极与源极)之间的漏电流。
另举例来说,除了开关SW401、SW407、SW408以外,开关SW402、SW403也被使用。开关SW402、SW403的第一端耦接至参考电源。开关SW402的第二端耦接至驱动器D1的信号输入端Vin。开关SW403的第二端耦接至驱动器D1的参考电源端Vs。开关SW402在被导通的情况下,驱动器D1的信号输入端Vin在接收到参考电源的电压。如此一来,驱动器D1会提供参考电源的电压以断开上臂晶体管TRW。上臂晶体管TRW的集电极经由电源路径P42接收电源VP3。因此,测试***110可藉由第四测试项目测试上臂晶体管TRW的集电极与发射极(或漏极与源极)之间的漏电流。
除此之外,对上臂晶体管TRW进行测试的角度来说,静态(static)测试项目至少还包括上臂晶体管TRW的控制端漏电流测试、阀值电压测试、集电极与发射极(或漏极与源极)之间的饱和电压测试、集电极与发射极(或漏极与源极)之间的漏电流测试以及控制端的电荷累积测试等。
请同时参考图1、图11、图12以及表5,图11是根据本发明实施例所示出的控制端电路的第五部分以及电源供应电路112的第四部分的布局示意图。图12是根据本发明实施例所示出的第五测试项目的电路示意图。表5是控制端电路以及电源供应电路在第四测试项目所使用到的开关的耦接关系表。
表5:
Figure BDA0002218480880000141
Figure BDA0002218480880000151
在本实施例中,控制端电路114还包括第五部分114_5。电源供应电路112还包括第四部分112_4。在本实施例中,在控制端电路114的第五部分114_5中,控制端开关群至少包括开关SW501~SW506。在电源供应电路112的第四部分112_4中,电源供应开关群至少包括开关SW507~SW517。举例来说,第五测试项目是动态(dynamic)测试项目的其中之一。电源供应电路112还用以反应于控制器116的控制提供多个电源路径的至少一个第二电源路径。控制端电路114还用以反应于控制器116的控制提供多个控制路径的至少一个第二控制路径。测试***110藉由电源供应电路112所提供的至少一个第二电源路径以及控制端电路114所提供的至少一个第二控制路径对功率模块PM1进行第五测试项目。
进一步来说明,在上臂晶体管TRW进行第五测试项目时,在控制端电路114的第五部分114_5中,开关SW501(例如是,专利申请范围所示的第二十开关)的第一端耦接至第二电源VP4(例如是15伏特)。开关SW501的第二端耦接至第一驱动器D1的电源输入端Vcc。开关SW502(例如是,专利申请范围所示的第二十一开关)的第一端用以接收参考电源。开关SW502的第二端耦接至第一驱动器D1的参考电源端Vs。开关SW503(例如是,专利申请范围所示的第二十二开关)的第一端用以接收脉波信号PULS。开关SW503的第二端耦接至第一驱动器D1的信号输入端Vin。开关SW504(例如是,专利申请范围所示的第二十三开关)的第一端用以接收参考电源,开关SW504的第二端耦接至第一驱动器D1的共享端COM。
在电源供应电路112的第四部分112_4中,开关SW507(例如是,专利申请范围所示的第二十四开关)的第一端耦接至上臂晶体管TRW的集电极或漏极,开关SW507的第二端耦接至二极管D的阳极以及电感L2的第一端,开关SW508(例如是,专利申请范围所示的第二十五开关)的第一端耦接至二极管D的阴极以及电感L2的第二端,开关SW508的第二端经由开关SW509(例如是,专利申请范围所示的第二十六开关)耦接至电源VP5。开关SW510(例如是,专利申请范围所示的第二十七开关)的第一端耦接至上臂晶体管TRW的发射极或源极,开关SW511(例如是,专利申请范围所示的第二十八开关)的第一端耦接至开关SW510的第二端,开关SW511的第二端经由开关SW514(例如是,专利申请范围所示的第二十九开关)耦接至参考电压,并且开关SW511的第二端还经由充电电容CC以及放电电阻DR耦接至开关SW508的第二端。充电电容CC与放电电阻DR并联耦接。
在此例中,在上臂晶体管TRW进行第五测试项目时,控制端电路114反应于控制器116的控制导通开关SW501、开关SW502、开关SW503以及开关SW504以提供多个第二控制路径,藉以致能驱动器D1。电源供应电路112反应于控制器116的控制导通开关SW507、开关SW508、开关SW509以提供至少一个电源路径的其中之一,电源供应电路112反应于控制器116的控制导通开关SW510、开关SW511、开关SW514以提供至少一个电源路径的其中另一。
在此值得一提的是,控制端电路114包括不同的多个部分(例如第一部分114_1、第二部分114_2、第三部分114_3、第四部分114_4以及第五部分114_5)。电源供应电路112包括不同的多个部分(例如第一部分112_1、第二部分112_2、第三部分112_3以及第四部分112_4)。测试***110可利用控制端电路114和/或电源供应电路112来对功率模块PM进行多个测试项目。如此一来,测试***110可整合多种测试项目于同一个测试架构,测试***110可缩短功率模块PM的测试时程。测试***110可兼容于测试多种类型的功率模块(图1所示的功率模块PM或图10所示的功率模块PM1)。
请同时参考图1、图1以及图13,图13是根据本发明实施例所示出的测试方法流程图。在本实施例中,测试方法适用于用测试***110。在步骤S110中,建立可支持静态(static)测试项目、动态(dynamic)测试项目和/或电容测试项目的至少一个个控制程序。在本实施例中,控制程序可以是被输入到测试***110中。举例来说,控制程序可例如是从程序库被输入到测试***110中或者是由技术人员藉由测试***110的人机接口将控制程序建立到测试***110中。在一些实施例中,控制程序可以是由测试***110自行建立。举例来说,控制程序是预建于测试***110中,测试***110依据历史测试验证分析信息和/或历史控制程序而可自行建立的程序。
在步骤S120中,测试***110依据静态(static)测试项目、动态(dynamic)测试项目和/或电容测试项目等多个测试项目来决定出多个开关的功能,藉以定义测试***110的电源供应电路112的电源供应开关群的脚位,并且定义测试***110的控制端电路114的控制端开关群的脚位。接下来,进入步骤S130。
在步骤S130,测试***110会藉由控制程序选择静态(static)测试项目、动态(dynamic)测试项目和/或电容测试项目等多个测试项目。当选择出测试项目之后,测试***110的控制器116会依据控制程序的指示来控制电源供应电路112以及控制端电路114,使电源供应电路112反应于控制器116的控制提供对应于选中测试项目的多个电源路径,并且使控制端电路114反应于控制器116的控制提供对应于选中测试项目的多个控制路径。
进一步来说,测试***110会从多个测试项目中选择第一测试项目,藉由控制程序控制电源供应电路112的电源供应开关群以及控制端电路114的控制端开关群,使电源供应电路112反应于控制器116的控制提供对应于第一测试项目的多个电源路径,并且使控制端电路114反应于控制器116的控制提供对应于第一测试项目的多个控制路径。测试***110还可以从多个测试项目中选择第二测试项目,藉由控制程序控制电源供应电路112的电源供应开关群以及控制端电路114的控制端开关群,使电源供应电路112反应于控制器116的控制提供对应于第二测试项目的多个电源路径,并且使控制端电路114反应于控制器116的控制提供对应于第二测试项目的多个控制路径,依此类推。电源供应开关群以及控制端开关群的实施细节可以在图1至图10的实施例中获致足够的教示,因此述不再此重述。
在步骤S140中,测试***110对多个电源路径提供多个电源,并且对多个控制路径提供多个控制信号。此外,测试***110还可以依据控制程序对所提供的多个电源以及多个控制信号进行管理。在本实施例中,测试***110可藉由控制程序设定多个电源的供应时序。以电源是高电压源为例,测试***110可藉由控制程序设定高电压源的电压准位。此外,测试***110可藉由控制程序设定高电压源以步进(step)方式或是以至少一个升压速率(ramp)进行升压。一旦测试***110提供了多个电源以及多个控制信号,进入步骤S150。
在步骤S150中,测试***110藉由控制程序对功率模块PM进行测试,提供对应于第一测试项目的多个测试数据,并依据测试数据提供测试后的判定结果。进一步来说,在本实施例中,测试***110可对应于第一测试项目的测试数据进行验证分析。依据第一测试项目的所需分析项目对测试数据进行计算分析,藉以得出对应于所述所需分析项目的分析结果。接下来,测试***110还能够将分析结果与预建的验证分析标准值和/或范围值进行比对,以建立判定结果。此外输出测试后的判定结果。在输出所有的判定结果之后,进入步骤S160以结束测试。
具体来说明,以测试***110在步骤S130选择静态(static)测试项目为例,并在步骤S140中决定出电压源的供应时序。电压源的电压准位可例如是以步进方式由0伏特升压到600伏特。一旦电压源的电压准位达到600伏特。测试***110会将电压源的电压维持一默认时间,藉以使电压源的电压准位在600伏特能够达到稳定状态。接下来,进入步骤S150。
在步骤S150中,测试***110会提供对应于静态(static)测试项目的多个测试数据,并且断开多个电源路径以及多个控制路径。接下来,对多个测试数据进行验证分析。在本例中,测试***110可对上述多个测试数据进行精确度的分析,藉以确定此静态(static)测试项目的多个测试数据是否在允收范围内。如果多个测试数据落定允收范围内,测试***110可继续对多个测试数据进行落点计算分析以建立判定结果与分布。测试***110可例如是藉由控制程序进行对应于静态(static)测试项目的允收范围的设定。测试***110可以对多个测试数据进行落点计算分析以输出关于功率模块PM通过静态(static)测试项目或者是没有通过静态(static)测试项目的判定结果的判定结果。
除此之外,控制程序还可以对电源的供应时序进行自我侦测,并依据自我侦测的结果决定是否调整电源的供应时序,藉以确保电源可提供高精准度的高电压(或高电流)准位。在一些实施例中,控制程序还可以显示并记录电源的供应时序的调整历史,藉以使技术人员能获知供应时序已被控制程序调整。
另具体来说明,以测试***110在步骤S130选择动态(dynamic)测试项目为例,并在步骤S140中决定出电压源的供应时序。电压源可例如是以多段式充电的方式达到预期电压准位,并且进行电压源的安全侦测。多段式充电(例如是三段式充电)的方式与安全侦测能够因应供应电流的大小提供正确的高电压源与保护机制。测试***藉由双脉冲信号作为控制信号。此外,测试***110在进行动态(dynamic)测试项目时,还可以藉由放电电阻(如图8、13的放电电阻DR)来产生放电路径。接下来,进入步骤S150。
在步骤S150中,控制程序还可以控制外部装置群藉由示波器来撷取测试***110所提供的至少部分测试数据,并藉由示波器显示上述测试数据的结果。控制程序可例如将此结果汇入到软件控制平台,并由软件控制平台进行落点计算分析以建立判定结果。判定结果的内容可以由图形化的方式来表现。应能理解的是,此例也能够应用于电容测试项目。
在另一方面,如果在步骤S150中发生状况或临时需求,例如是测试环境、测试***或是功率模块PM发生异常或发生危害等等情况,则测试***100进入步骤S170以停止测试。
请同时参考图1以及图14,图14是根据本发明实施例所示出的测试载具的示意图。在本实施例中,测试载具用以承载被测功率模块。测试***110的电源供应电路的电源供应开关群至少包括为第一开关群以及第二开关群。测试***的控制端电路的控制端开关群至少包括第三开关群以及第四开关群。换言之,测试载具可包括测试***110。在本实施例中,第一开关群可例如是图2所示的电源供应电路的第一部分112_1,或者是图9所示的电源供应电路的第三部分112_3。第二开关群可例如是图7所示的电源供应电路的第二部分112_2,或者是图11所示的电源供应电路的第四部分112_4。第三开关群可例如是图2所示的控制端电路的第一部分114_1、图5所示的控制端电路的第二部分114_2或者是图9所示的控制端电路的第四部分114_4。第四开关群可例如是图7所示的控制端电路的第三部分114_3,或者是图11所示的控制端电路的第五部分114_5。
除此之外,测试载具至少包括第一电路板EP1、第二电路板EP2以及功率模块载体PMC1。第一电路板EP1用以容置第三开关群。第二电路板EP2用以容置第一开关群、第二开关群以及第四开关群。功率模块载体PMC1用以容置功率模块PM。在本实施例中,电源供应开关群、控制端开关群以及功率模块PM经由多个电性连接件、第一电路板EP1和/或第二电路板EP2进行电性连接。在本实施例中,电性连接件的材料包括金属,例如是铜。在本实施例中,在进行第一测试项目时,第二电路板EP2与功率模块PM电性连接,使第一开关群反应于控制器的控制以提供至少一个第一控制路径,并且使第一开关群反应于控制器的控制以提供至少一个第一电源路径。在进行第二测试项目时,第一电路板EP1以及第二电路板EP2与功率模块PM电性连接,使第四开关群反应于控制器的控制以提供至少一个第二控制路径,并且使第二开关群反应于控制器的控制以提供至少一个第二电源路径,依此类推。
在本实施例中,第二电路板EP2可例如是用于静态(static)测试项目。第一电路板EP1以及第二电路板EP2可例如是用于动态(dynamic)测试项目。在一些实施例中,第一电路板EP1的数量可以是多个。第二电路板EP2的数量可以是多个。在一些实施例中。本发明并不以本实施例的第一电路板EP1以及第二电路板EP2的测试项目与数量为限。
在本实施例中,测试载具还包括用以乘载第一电路板EP1、第二电路板EP2以及功率模块载体PMC1的底板。底板可对高电压进行电性隔离。底板BP包括底架、第一电绝缘架体以及第二电绝缘架体(未示出)。第一电绝缘架体以及第二电绝缘架体可以是高电压绝缘材料。底架的材料可以为金属,例如是铝。底架被设计在第一电绝缘架体与第二电绝缘架体之间。在本实施例中,第一电绝缘架体用以承载并固定第二电路板EP2。在一些实施例中,第一电绝缘架体可用以承载并固定第一电路板EP1以及第二电路板EP2。本发明并不以图14的实施例为限。
进一步来说明,请参考图14以及图15,图15是根据本发明实施例所示出的电路板、功率模块载体的配置示意图。第一电路板EP1可藉由多个固定件(如固定件F1~F4)以及多个支撑件(如支撑件SUP1~SUP6)来支撑并固定于第二电路板EP2。第二电路板EP2与第一电路板EP1之间产生用以容置第二开关群、第四开关群或充电电容的容置高度。固定件F1用以支撑件SUP3。固定件F2用以支撑件SUP4,依此类推。
接下来说明固定件的实施细节。请参考图16,图16是根据本发明实施例所示出的固定件的示意图。以固定件F1为例,除了固定件本体FB1外,固定件F1还包括高压导电绝缘垫片ISO1。高压导电绝缘垫片ISO1可将第二电路板EP2与第一电路板EP1电性绝缘或电性连接件隔绝。高压导电绝缘垫片ISO1可提高第二电路板EP2与第一电路板EP1和电性连接件之间的电性绝缘效果。高压导电绝缘垫片提高第二电路板EP2与第一电路板EP1的电性绝缘效果,且透过设计此测试电路路径藉以降低所造成的寄生电感以及电性干扰(例如:突波)。
接下来说明电性连接件的实施细节。请同时参考图1、图17A、17B。图17A、17B分别是根据本发明实施例所示出的电性连接件与多个电性连接点以积木式堆栈的方式进行组装的示意图。电性连接件、电源供应开关群的多个电性连接点、控制端开关群的多个电性连接点、第一电路板的多个电性连接点、第二电路板的多个电性连接点以及功率模块载体分别具有多个限位部。测试载具藉由多个限位部对多个电性连接件、多个电源供应开关群的多个电性连接点、控制端开关群的多个电性连接点、第一电路板的多个电性连接点、第二电路板的多个电性连接点以及功率模块载体PMC1以积木式堆栈的方式进行组装。以电性连接件CON1为例,电性连接件CON1可具有由弯折点P1~P4所形成的多个限位部。限位部可被架设于支撑件SUP7上。限位部的设计可以使电性连接件的连接点的高度可被架设于开关的电性连接点上。以电性连接件CON2为例,电性连接件CON2可具有由弯折点P5、P6所形成的限位部。限位部可被固定于支撑件SUP8上。如此一来,在测试***110、测试载具进行组装时的组装复杂度能够被降低。
请同时参考图1以及图18A,图18A是根据本发明实施例所示出的测试载具的示意图。在本实施例中,测试载具包括第一电路板EP3、第二电路板EP4以及功率模块载体PMC2。第一电路板EP3用以容置第一开关群以及第三开关群。第二电路板EP4用以容置第二开关群以及第四开关群。功率模块载体PMC2用以容置功率模块PM。本实施例的功率模块载体PMC2是一容器,功率模块PM则被容置于功率模块载体PMC2的内部。功率模块载体PMC2以及第二电路板EP4被设置于架体上。在本实施例中,第一电路板EP3可例如是用于静态(static)测试项目。第二电路板EP4可例如是用于动态(dynamic)测试项目。在一些实施例中,第一电路板EP3的数量可以是多个。第二电路板EP4的数量可以是多个。本发明并不以本实施例的第一电路板EP3以及第二电路板EP4的测试项目与数量为限。
进一步来说明,请同时参考图18B以及图18C,图18B以及图18C分别是根据本发明实施例所示出的测试载具的局部示意图。在本实施例中,第一电路板EP3具有用以容置第一开关群以及第三开关群的第一平面PL1。也就是说,第一开关群(如,图9所示的电源供应电路的第三部分112_3)以及第三开关群(如,图9所示的控制端电路的第四部分114_4)是设置于第一电路板EP3的第一平面PL1上。第一电路板EP3水平架设于测试载具的底板BP上。
第二电路板EP4具有第二平面PL2以及相对于第二平面PL2的第三平面PL3。第二平面PL2以及第三平面PL3用以容置第二开关群以及第四开关群。第二平面PL2的平面方向以及第三平面PL3的平面方向垂直于第一平面PL1的平面方向。在此举例来说,第二平面PL2可容置第四开关群。第二平面PL2可容置图11所示的电源供应电路的第四部分112_4。第三平面PL3可容置第二开关群。第三平面PL3可容置图11所示的电源供应电路的第四部分112_4。由图18C的视角来看,可以看到开关SW501、SW502被设置在第二平面PL2上,开关SW507、SW508被设置在第三平面PL3上。另举例来说,第二平面PL2可容置第二开关群。再举例来说,第二平面PL2可容置第二开关群的部分开关以及第四开关群的部分开关。
在此应注意的是,在本实施例中,第二电路板EP4设置于第一电路板EP3与功率模块载体PMC2之间。上述的配置会缩短电源路径长度,因此,在对功率模块PM进行动态(dynamic)测试项目时,测试载具以及测试***110可提供最短的电源供应路径以及最短的控制路径。如此一来,在对功率模块PM进行动态(dynamic)测试项目时,高电压/高电流所造成的寄生电感、以及突波干扰可以被降低。
综上所述,本发明可藉由电源供应电路所提供的多个电源路径以及控制端电路所提供的控制路径的多个控制路径对功率模块进行多个测试项目,并提供对应于多个测试项目的判定结果。如此一来,本发明可整合多种测试项目于同一个测试架构,也兼容于测试多种高功率的功率模块。本发明可缩短功率模块的测试时程。
虽然本发明已以实施例公开如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,故本发明的保护范围当以权利要求的保护范围为准。

Claims (29)

1.一种测试***,用以对功率模块进行测试,并提供测试后的判定结果,该测试***包括:
电源供应电路,具有电源供应开关群,经由该电源供应开关群接收多个电源;
控制端电路,具有控制端开关群,经由该控制端开关群接收多个控制信号;以及
控制器,耦接至该电源供应电路以及该控制端电路,用以控制该电源供应开关群以及该控制端开关群,使该电源供应电路反应于该控制器的控制提供多个电源路径,并且使该控制端电路反应于该控制器的控制提供多个控制路径,藉以对该功率模块进行测试,
其中该测试***藉由该电源供应电路所提供的该些电源路径的至少一个第一电源路径以及该控制端电路所提供的该些控制路径的至少一个第一控制路径对该功率模块进行第一测试项目,并提供对应于该第一测试项目的判定结果。
2.如权利要求1所述的测试***,其中该功率模块包括上臂晶体管以及下臂晶体管,该测试***藉由该至少一个电源路径以及该至少一个第一控制路径对该上臂晶体管以及该下臂晶体管进行该第一测试项目。
3.如权利要求2所述的测试***,其中:
该控制端开关群包括第一开关,
该电源供应开关群包括第二开关以及第三开关,
该上臂晶体管具有第一端、第二端以及控制端,
在对该上臂晶体管进行该第一测试项目时,该第一开关的第一端耦接至该上臂晶体管的控制端,该第一开关的第二端耦接至该上臂晶体管的第二端,该第二开关的第一端耦接至该上臂晶体管的第一端,该第二开关的第二端耦接至第一电压源,该第三开关的第一端耦接至该上臂晶体管的第一端,该第三开关的第二端耦接至参考电源。
4.如权利要求1所述的测试***,其中该功率模块包括上臂晶体管以及下臂晶体管,
其中该控制端电路还用以反应于该控制器的控制提供该些控制路径的至少一个第二控制路径,
其中该测试***藉由该控制端电路所提供的该至少一个第二控制路径对该功率模块进行第二测试项目。
5.如权利要求4所述的测试***,其中:
该控制端开关群还包括第四开关、第五开关以及第六开关,
该第四开关的第一端耦接至电感电容电阻测试仪的第一端,该第四开关的第二端耦接至该上臂晶体管的第二端,
该第五开关的第一端耦接至该电感电容电阻测试仪的第二端,该第五开关的第二端用以耦接至该上臂晶体管的控制端,
该第六开关的第一端耦接至该上臂晶体管的控制端,该第六开关的第二端耦接至该上臂晶体管的第一端,
在对该上臂晶体管进行该第二测试项目时,该第四开关、该第五开关以及该第六开关反应于该控制器的控制以提供该些控制路径的多个第二控制路径。
6.如权利要求1所述的测试***,其中该功率模块包括上臂晶体管以及下臂晶体管,
该电源供应电路还用以反应于该控制器的控制提供该些电源路径的至少一个第二电源路径,
该控制端电路还用以反应于该控制器的控制提供该些控制路径的至少一个第三控制路径,
该测试***藉由该电源供应电路所提供的该至少一个第二电源路径以及该控制端电路所提供的该至少一个第三控制路径对该功率模块进行第三测试项目。
7.如权利要求6所述的测试***,其中在进行该第三测试项目时,该测试***藉由双脉冲测试法对该上臂晶体管以及对该下臂晶体管进行测试。
8.如权利要求6所述的测试***,其中:
该控制端开关群还包括第七开关以及第八开关,
该第七开关的第一端用以接收第一脉波信号,该第七开关的第二端耦接至该上臂晶体管的控制端,
该第八开关的第一端用以接收第二脉波信号,该第八开关的第二端耦接至该下臂晶体管的控制端。
9.如权利要求8所述的测试***,其中:
该电源供应开关群还包括第九开关、第十开关、第十一开关、第十二开关、第十三开关、第十四开关、第十五开关以及第十六开关,
该第九开关的第一端耦接至该上臂晶体管的第二端,该第九开关的第二端经由该第十开关耦接至第二电压源,
该第十一开关的第一端耦接至该上臂晶体管的第二端,
该第十二开关的第一端耦接至该上臂晶体管的第一端,该第十二开关的第二端经由电感耦接至该第十一开关的第二端,
该第十三开关的第一端耦接至该第十二开关的第二端,该第十三开关的第二端耦接至该下臂晶体管的第一端,
该第十四开关的第一端耦接至该第十一开关的第二端,该第十四开关的第二端耦接至该下臂晶体管的第二端,
该第十五开关的第一端耦接至该下臂晶体管的第二端,该第十五开关的第二端经由该第十六开关耦接至该参考电压,并且该第十五开关的第二端还经由充电电容以及放电电阻耦接至该第九开关的第二端,
该充电电容与该放电电阻并联耦接。
10.如权利要求9所述的测试***,其中在该下臂晶体管进行该第三测试项目时,该控制端电路反应于该控制器的控制导通该第八开关以提供该至少一个第二控制路径的其中之一,该电源供应电路反应于该控制器的控制导通该第九开关、该第十开关、该第十一开关以及该第十三开关以提供该至少一个第三电源路径的其中之一,并且导通该第十五开关以及该第十六开关以提供该至少第三电源路径的其中另一。
11.如权利要求1所述的测试***,其中该功率模块包括多个上臂晶体管、多个下臂晶体管以及多个驱动器,
其中该些驱动器分别用以驱动该些上臂晶体管以及该些下臂晶体管的至少其中之一,
其中该些驱动器的第一驱动器耦接至该些上臂晶体管的第一上臂晶体管的控制端,藉以控制第一上臂晶体管的导通或断开。
12.如权利要求11所述的测试***,其中:
该控制端开关群包括第十七开关,该电源供应开关群包括第十八开关以及第十九开关,
该第十七开关的第一端耦接至参考电源,该第十七开关的第二端耦接至该第一驱动器的电源输入端,
该第十八开关的第一端耦接至该些上臂晶体管的第一上臂晶体管的第一端,该第十八开关的第二端耦接至第一电压源,
该第十九开关的第一端耦接至该第一上臂晶体管的第二端,该第十九开关的第二端耦接至参考电源,
在进行该第一测试项目时,该控制端电路反应于该控制器的控制导通该第十七开关以提供该至少一个第一控制路径的其中之一,藉以禁能该第一驱动器,该电源供应电路反应于该控制器的控制导通该第十八开关以提供该至少一个第一电源路径的其中之一并且导通该第十九开关以提供该至少一个第一电源路径的其中另一。
13.如权利要求11所述的测试***,其中:
该电源供应电路还用以反应于该控制器的控制提供该些电源路径的至少一个第二电源路径,
该控制端电路还用以反应于该控制器的控制提供该些控制路径的至少一个第二控制路径,
该测试***藉由该电源供应电路所提供的该至少一个第二电源路径以及该控制端电路所提供的该至少一个第二控制路径对该功率模块进行第二测试项目。
14.如权利要求13所述的测试***,其中:
该控制端开关群还包括第二十开关、第二十一开关、第二十二开关以及第二十三开关,
该第二十开关的第一端耦接至第二电压源,该第二十开关的第二端耦接至该第一驱动器的电源输入端,
该第二十一开关的第一端用以接收该参考电源,该第二十一开关的第二端耦接至该第一驱动器的参考电源端,
该第二十二开关的第一端用以接收脉波信号,该第二十二开关的第二端耦接至该第一驱动器的信号输入端,
该第二十三开关的第一端用以接收该参考电源,该第二十三开关的第二端耦接至该第一驱动器的共享端。
15.如权利要求14所述的测试***,其中:
该电源供应开关群还包括第二十四开关、第二十五开关、第二十六开关、第二十七开关、第二十八开关以及第二十九开关,
该第二十四开关的第一端耦接至该第一上臂晶体管的第一端,该第二十四开关的第二端耦接至二极管的阳极以及电感的第一端,
该第二十五开关的第一端耦接至该二极管的阴极以及该电感的第二端,该第二十五开关的第二端经由该第二十六开关耦接至第三电压源,
该第二十七开关的第一端耦接至该第一上臂晶体管的第二端,
该第二十八开关的第一端耦接至该第二十七开关的第二端,该第二十八开关的第二端经由该第二十九开关耦接至该参考电压,并且该第二十八开关的第二端还经由充电电容以及放电电阻耦接至该第二十五开关的第二端,
该充电电容与该放电电阻并联耦接。
16.如权利要求15所述的测试***,其中在该第一上臂晶体管进行该第二测试项目时,该控制端电路反应于该控制器的控制导通该第二十开关、该第二十一开关、该第二十二开关以及该第二十三开关以提供多个第二控制路径,藉以致能该第一驱动器,该电源供应电路反应于该控制器的控制导通该第二十四开关、该第二十五开关、该第二十六开关以提供该至少一个第二电源路径的其中之一,该电源供应电路反应于该控制器的控制导通该第二十七开关、该第二十八开关、该第二十九开关以提供该至少一个第二电源路径的其中另一。
17.一种用于测试***的测试方法,其中该测试***用于测试功率模块,该测试方法包括:
建立用以支持多个测试项目的控制程序;
定义该测试***的电源供应电路的电源供应开关群的脚位,并定义该测试***的控制端电路的控制端开关群的脚位;
从多个测试项目中选择第一测试项目,藉由该控制程序控制该电源供应开关群以及该控制端开关群,使该电源供应电路反应于该测试***的控制器的控制提供对应于该第一测试项目的多个电源路径,并且使该控制端电路反应于该控制器的控制提供对应于该第一测试项目的多个控制路径;
对该些电源路径提供多个电源,并且对该些控制路径提供多个控制信号;以及
藉由该控制程序对该功率模块进行测试,提供对应于该第一测试项目的多个测试数据,并依据该些测试数据提供测试后的判定结果。
18.如权利要求17所述的测试方法,其中对该些电源路径提供多个电源的步骤包括:
设定该些电源的供应时序。
19.如权利要求17所述的测试方法,其中藉由该控制程序对该功率模块进行测试的步骤包括:
对该些测试数据进行验证分析。
20.如权利要求19所述的测试方法,其中对该测试数据进行验证分析的步骤包括:
依据该第一测试项目的所需分析项目对测试数据进行计算分析,以得出对应于该第一测试项目的所需分析项目的分析结果。
21.如权利要求19所述的测试方法,其中提供测试后的该判定结果的步骤包括:
将该分析结果与预建的验证分析标准值和/或范围值进行比对,以建立该判定结果;以及
输出测试后的该判定结果。
22.一种测试载具,用以对功率模块进行测试,该测试载具包括:
如权利要求1所述的测试***,其中该电源供应电路的该电源供应开关群至少包括第一开关群以及第二开关群,其中该控制端电路的该控制端开关群至少包括第三开关群以及第四开关群;
第一电路板,用以容置该第一开关群或该第三开关群;
第二电路板,用以容置该第一开关群、该第二开关群或该第四开关群;以及
功率模块载体,用以容置该功率模块,
其中在进行该第一测试项目时,该第二电路板与该功率模块电性连接,使该第一开关群反应于该控制器的控制以提供该至少一个第一控制路径,并且使该第二开关群反应于该控制器的控制以提供该至少一个第一电源路径,
其中在进行该第二测试项目时,该第一电路板以及该第二电路板与该功率模块电性连接,使该第三开关群反应于该控制器的控制以提供该至少一个第二控制路径,并且使该第四开关群反应于该控制器的控制以提供该至少一个第二电源路径。
23.如权利要求22所述的测试载具,其中该电源供应开关群、该控制端开关群以及该功率模块经由多个电性连接件、该第一电路板和/或该第二电路板进行电性连接。
24.如权利要求23所述的测试载具,其中该些电性连接件的材料包括金属。
25.如权利要求22所述的测试载具,其中该第一电路板藉由多个固定件以及多个支撑件来支撑并固定于该第二电路板。
26.如权利要求25所述的测试载具,其中:
该些支撑件用以支撑并且固定该第二电路板,使该第二电路板与该第一电路板之间产生用以容置该第二开关群、该第四开关群或充电电容的容置高度;以及
该些固定件分别用以固定该些支撑件。
27.如权利要求25所述的测试载具,其中该些固定件的至少一个包括:
高压导电绝缘垫片,用以使该第二电路板与该第一电路板或该些电性连接件电性绝缘。
28.如权利要求25所述的测试载具,其中该些电性连接件、该电源供应开关群的多个电性连接点、该控制端开关群的多个电性连接点、该第一电路板的多个电性连接点、该第二电路板的多个电性连接点以及该功率模块载体分别具有多个限位部,该测试载具藉由该些限位部对该些电性连接件、该电源供应开关群的多个电性连接点、该控制端开关群的多个电性连接点、该第一电路板的多个电性连接点、该第二电路板的多个电性连接点以及该功率模块载体以积木式堆栈的方式进行组装。
29.如权利要求22所述的测试载具,其中:
该第一电路板具有用以容置该第一开关群以及该第三开关群的第一平面,
该第二电路板具有用以容置该第二开关群以及该第四开关群的第二平面以及相对于该第二平面的第三平面,
该第二平面的平面方向以及该第三平面的平面方向垂直于该第一平面的平面方向。
CN201910924120.3A 2018-09-25 2019-09-24 测试***、用于测试***的测试方法以及测试载具 Active CN110954842B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862735865P 2018-09-25 2018-09-25
US62/735,865 2018-09-25
TW108111971 2019-04-03
TW108111971A TWI708064B (zh) 2018-09-25 2019-04-03 測試系統、用於測試系統的測試方法以及測試載具

Publications (2)

Publication Number Publication Date
CN110954842A true CN110954842A (zh) 2020-04-03
CN110954842B CN110954842B (zh) 2022-04-05

Family

ID=69975537

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910924120.3A Active CN110954842B (zh) 2018-09-25 2019-09-24 测试***、用于测试***的测试方法以及测试载具

Country Status (1)

Country Link
CN (1) CN110954842B (zh)

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0690542A1 (en) * 1994-06-30 1996-01-03 Tandem Computers Incorporated Latent fault detection in a redundant power supply
EP0772288A2 (en) * 1995-10-31 1997-05-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor power module and compound power module
JP2007271590A (ja) * 2006-03-31 2007-10-18 Eudyna Devices Inc 試験システムおよびその制御方法
CN101688896A (zh) * 2007-06-20 2010-03-31 Nxp股份有限公司 可测试的集成电路及测试方法
CN102455382A (zh) * 2010-10-22 2012-05-16 富士电机株式会社 功率半导体器件的电流检测电路
CN102457047A (zh) * 2010-10-18 2012-05-16 台达电子工业股份有限公司 电源供应器以及具有多个电源供应器的供电***
CN102565502A (zh) * 2010-10-08 2012-07-11 富士电机株式会社 功率半导体器件的电流检测器电路及检测方法
CN103344851A (zh) * 2013-06-24 2013-10-09 江苏博普电子科技有限责任公司 GaN HEMT微波功率器件脉冲直流测试***及方法
CN103777086A (zh) * 2012-10-22 2014-05-07 中国北车集团大同电力机车有限责任公司 功率模块双脉冲试验装置
CN103901289A (zh) * 2012-12-27 2014-07-02 华邦电子股份有限公司 测试装置及其测试电压产生方法
TW201526519A (zh) * 2013-12-27 2015-07-01 Ind Tech Res Inst 功率元件驅動器失效檢測裝置及其檢測方法
CN106324384A (zh) * 2016-08-03 2017-01-11 许继集团有限公司 一种多回路安规测试***和一种多路切换装置
US20170030962A1 (en) * 2015-07-27 2017-02-02 Hyundai Motor Company Circuit for detecting failure of insulated gate bipolar transistor (igbt) power module
TW201708833A (zh) * 2015-08-19 2017-03-01 致茂電子股份有限公司 可組合多通道之測試單板
CN106597142A (zh) * 2016-11-08 2017-04-26 许继电气股份有限公司 一种svg功率模块的自动测试装置
CN206848417U (zh) * 2017-06-08 2018-01-05 北京华峰测控技术有限公司 一种高压mosfet晶圆击穿电压多工位并行测量装置
CN108051719A (zh) * 2017-12-04 2018-05-18 广东美的制冷设备有限公司 功率管测试电路及功率管测试装置
CN108139443A (zh) * 2015-09-29 2018-06-08 新东工业株式会社 测试***

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0690542A1 (en) * 1994-06-30 1996-01-03 Tandem Computers Incorporated Latent fault detection in a redundant power supply
EP0772288A2 (en) * 1995-10-31 1997-05-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor power module and compound power module
JP2007271590A (ja) * 2006-03-31 2007-10-18 Eudyna Devices Inc 試験システムおよびその制御方法
CN101688896A (zh) * 2007-06-20 2010-03-31 Nxp股份有限公司 可测试的集成电路及测试方法
CN102565502A (zh) * 2010-10-08 2012-07-11 富士电机株式会社 功率半导体器件的电流检测器电路及检测方法
CN102457047A (zh) * 2010-10-18 2012-05-16 台达电子工业股份有限公司 电源供应器以及具有多个电源供应器的供电***
CN102455382A (zh) * 2010-10-22 2012-05-16 富士电机株式会社 功率半导体器件的电流检测电路
CN103777086A (zh) * 2012-10-22 2014-05-07 中国北车集团大同电力机车有限责任公司 功率模块双脉冲试验装置
CN103901289A (zh) * 2012-12-27 2014-07-02 华邦电子股份有限公司 测试装置及其测试电压产生方法
CN103344851A (zh) * 2013-06-24 2013-10-09 江苏博普电子科技有限责任公司 GaN HEMT微波功率器件脉冲直流测试***及方法
TW201526519A (zh) * 2013-12-27 2015-07-01 Ind Tech Res Inst 功率元件驅動器失效檢測裝置及其檢測方法
US20170030962A1 (en) * 2015-07-27 2017-02-02 Hyundai Motor Company Circuit for detecting failure of insulated gate bipolar transistor (igbt) power module
TW201708833A (zh) * 2015-08-19 2017-03-01 致茂電子股份有限公司 可組合多通道之測試單板
CN108139443A (zh) * 2015-09-29 2018-06-08 新东工业株式会社 测试***
CN106324384A (zh) * 2016-08-03 2017-01-11 许继集团有限公司 一种多回路安规测试***和一种多路切换装置
CN106597142A (zh) * 2016-11-08 2017-04-26 许继电气股份有限公司 一种svg功率模块的自动测试装置
CN206848417U (zh) * 2017-06-08 2018-01-05 北京华峰测控技术有限公司 一种高压mosfet晶圆击穿电压多工位并行测量装置
CN108051719A (zh) * 2017-12-04 2018-05-18 广东美的制冷设备有限公司 功率管测试电路及功率管测试装置

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MUHAMMAD NAWAZ ET AL.: "Static and dynamic analysis of SiC based commercial MOSFET power modules", 《2015 17TH EUROPEAN CONFERENCE ON POWER ELECTRONICS AND APPLICATIONS (EPE"15 ECCE-EUROPE)》 *
夏明华: "高压大容量IGBT测试技术及测试平台的研究", 《中国优秀硕士学位论文全文数据库 信息科技辑》 *

Also Published As

Publication number Publication date
CN110954842B (zh) 2022-04-05

Similar Documents

Publication Publication Date Title
JP5413349B2 (ja) 半導体試験装置および半導体試験回路の接続装置
US7436200B1 (en) Apparatus for testing a power supply
CN105308864B (zh) 整流单元及其补偿电路
KR101050696B1 (ko) 전압 구동형 소자를 구동시키는 구동 장치
US20180354384A1 (en) Double-pulse test systems and methods
CN107196546B (zh) 一种电机控制器的主动放电***
CN111007379A (zh) 一种自校正的igbt健康监测方法
CN218240297U (zh) 一种宽禁带功率半导体器件动态性能测试装置
CN114578119B (zh) 基于并联SiC MOSFET动静态参数影响下的电流测量***
US6556034B1 (en) High speed and high accuracy DUT power supply with active boost circuitry
CN110954842B (zh) 测试***、用于测试***的测试方法以及测试载具
EP3652857B1 (en) Power semiconductor module gate driver with input common mode choke
US9543856B2 (en) Power conversion apparatus having a negative terminal of a power supply connected to one of connection nodes of a negative side bus with U-phase, V-phase and W-phase lower-arm switching elements except the end-side ones
TWI708064B (zh) 測試系統、用於測試系統的測試方法以及測試載具
CN114088986A (zh) 一种用于针状端子半桥型功率模块的动态测试板
CN112731093B (zh) 大功率igbt适配方法
Tan et al. Evaluation of active current source gate driver for IGBT module switching transitions
KR101446085B1 (ko) 전기차 및 자동차의 파워 테스트 장치
CN110412356B (zh) 一种新能源汽车控制器主电路杂散电感测量方法及装置
JP2021110667A (ja) 半導体試験装置、半導体試験方法および半導体装置の製造方法
US6979994B2 (en) Power supply device for a component testing installation
Jaksic et al. Novel SiC power module for traction power inverters with low parasitic inductances
CN113671336B (zh) 功率器件测试装置
Wang Driving and protection of high density high temperature power module for electric vehicle application
CN217543325U (zh) 一种通用性高的集成电路芯片的老炼板

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant