CN110911278A - 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法 - Google Patents

蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法 Download PDF

Info

Publication number
CN110911278A
CN110911278A CN201910879827.7A CN201910879827A CN110911278A CN 110911278 A CN110911278 A CN 110911278A CN 201910879827 A CN201910879827 A CN 201910879827A CN 110911278 A CN110911278 A CN 110911278A
Authority
CN
China
Prior art keywords
layer
metal
acid
etching
etching composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910879827.7A
Other languages
English (en)
Other versions
CN110911278B (zh
Inventor
金贞儿
朴美贤
李轸雨
金建伶
李晓山
韩勋
李珍旭
林廷训
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Soulbrain Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Soulbrain Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd, Soulbrain Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to CN202311566341.0A priority Critical patent/CN117448824A/zh
Publication of CN110911278A publication Critical patent/CN110911278A/zh
Application granted granted Critical
Publication of CN110911278B publication Critical patent/CN110911278B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00539Wet etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/20Acidic compositions for etching aluminium or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

公开了蚀刻金属阻挡层和金属层的方法以及制造半导体器件的方法。所述方法包括:在衬底上形成金属阻挡层和金属层;以及使用蚀刻组合物蚀刻金属阻挡层和金属层。蚀刻组合物可以包括:氧化剂,选自于硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸或它们的组合;金属蚀刻抑制剂,包括由如在说明书中所示的化学式1表示的化合物;以及金属氧化物增溶剂,选自于磷酸、磷酸盐、具有3个至20个碳原子的羧酸或它们的组合。

Description

蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
相关申请的交叉引用
本申请要求于2018年9月18日在韩国知识产权局提交的第10-2018-0111342号韩国专利申请的优先权,该韩国专利申请的全部内容通过引用包含于此。
技术领域
本公开涉及蚀刻组合物、使用其蚀刻金属阻挡层和金属层的方法以及使用其制造半导体器件的方法。
背景技术
半导体器件因其小尺寸、多功能和/或低制造成本而广泛用于电子工业。半导体器件可以包括用于存储数据的存储器件、用于处理数据的逻辑器件和用于同步或同时操作各种功能的混合器件。
随着电子工业的高度发展,半导体器件已越来越需要高度集成。因此,因为在限定精细图案的曝光工艺中存在工艺余量减少的问题,制造半导体器件越来越困难。随着电子工业的高度发展,半导体器件也越来越多地被要求高速度。已经进行了各种研究以满足半导体器件中的高度集成和/或高速度的要求。
发明内容
本公开的一些示例性实施例提供了能够有效蚀刻金属阻挡层和金属层的蚀刻组合物以及使用该蚀刻组合物制造半导体器件的方法。
根据本公开的一些示例性实施例,蚀刻金属阻挡层和金属层的方法可以包括:在衬底上形成金属阻挡层和金属层;以及使用蚀刻组合物蚀刻金属阻挡层和金属层。在这些示例性实施例中,蚀刻组合物可以包括:氧化剂,选自于硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸或它们的组合;金属蚀刻抑制剂,包括由下面的化学式1表示的化合物;以及金属氧化物增溶剂,选自于磷酸、磷酸盐、具有3个至20个碳原子的羧酸或它们的组合。
[化学式1]
Figure BDA0002205550200000021
在化学式1中,R1和R2独立地为氢、(C1-C10)烷基、(C3-C10)烯基、(C3-C10)炔基、(C1-C10)烷氧基或羧基,R3为氢、氨基、(C1-C10)烷基氨基、(C3-C10)芳基氨基、(C1-C10)烷基、(C3-C10)烯基、(C3-C10)炔基、(C1-C10)烷氧基或羧基,n是等于或大于1的整数,R1、R2和R3可以为未取代的或者取代的。
根据本公开的一些示例性实施例,蚀刻氮化钛层和钨层的方法可以包括:在衬底上形成氮化钛层和钨层;以及使用蚀刻组合物蚀刻氮化钛层和钨层。在这些示例性实施例中,蚀刻组合物可以包括:氧化剂,选自于硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸或它们的组合;金属蚀刻抑制剂,包括具有一个或两个氨基的胺类化合物;以及金属氧化物增溶剂,选自于磷酸、磷酸盐、具有3个至20个碳原子的羧酸或它们的组合。
根据本公开的一些示例性实施例,制造半导体器件的方法可以包括:形成在衬底上交替地且重复地堆叠的牺牲层和介电层;选择性地去除牺牲层以形成凹陷区域;形成填充凹陷区域的金属阻挡层和金属层;以及使用蚀刻组合物部分地蚀刻金属阻挡层和金属层,以在每个凹陷区域中形成金属阻挡图案和金属图案。在这些示例性实施例中,蚀刻组合物可以包括:氧化剂,选自于硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸或它们的组合;金属蚀刻抑制剂,包括具有一个或两个氨基的胺类化合物;以及金属氧化物增溶剂,选自于磷酸、磷酸盐、具有3个至20个碳原子的羧酸或它们的组合。
附图说明
下面将参照附图描述本公开的示例性实施例的特征,其中,同样的附图标记指示同样的元件,在附图中:
图1至图3示出了显示出根据本公开的一些示例性实施例的蚀刻金属层和金属阻挡层的方法的截面图。
图4至图14示出了显示出根据本公开的一些示例性实施例的制造半导体器件的方法的截面图。
图15示出了显示出图14中描绘的半导体器件的透视图。
图16A示出了显示出通过使用表4中的实施例4的蚀刻组合物的蚀刻工艺形成的所得物的截面的图像。
图16B示出了显示出通过使用表4中的比较例3的蚀刻组合物的蚀刻工艺形成的所得物的截面的图像。
图17示出了显示出通过使用表4中的实施例4和实施例5以及比较例3和比较例4的蚀刻组合物的蚀刻工艺形成的所得物的侧壁的轮廓误差率的曲线图。
具体实施方式
图1至图3示出了显示出根据本公开的一些示例实施例的蚀刻金属层和金属阻挡层的方法的截面图。
参照图1,可以在衬底100上形成金属层MT和金属阻挡层BM。金属阻挡层BM可以与金属层MT相邻。金属层MT可以具有第一蚀刻目标表面ES1,金属阻挡层BM可以具有第二蚀刻目标表面ES2。第一蚀刻目标表面ES1和第二蚀刻目标表面ES2可以是根据本公开的一些示例实施例的被施加蚀刻组合物CO的表面,这将在下面讨论。例如,第一蚀刻目标表面ES1和第二蚀刻目标表面ES2可以基本上彼此共面。
金属层MT可以包括铝、铜、钼和钴中的一种或更多种。金属阻挡层BM可以是金属氮化物层,金属氮化物层可以包括氮化钛(TiN)层、氮化钽(TaN)层、氮化钨(WN)层、氮化镍(NiN)层、氮化钴(CoN)层和氮化铂(PtN)层中的一种或更多种。
参照图2,根据本公开的一些示例性实施例的蚀刻组合物CO可以被施加到金属层MT和金属阻挡层BM上。蚀刻组合物CO可以直接施加到第一蚀刻目标表面ES1和第二蚀刻目标表面ES2上。蚀刻组合物CO可包括氧化剂、金属蚀刻抑制剂、金属氧化物增溶剂和水。
氧化剂可以使金属层MT和金属阻挡层BM氧化。氧化剂可以选自于硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸或它们的组合。
金属蚀刻抑制剂可以抑制氧化剂作用在金属层MT上。金属蚀刻抑制剂可以是在单个分子中具有一个或两个氨基的胺类化合物。金属蚀刻抑制剂可以包括由下面的化学式1表示的化合物。
[化学式1]
Figure BDA0002205550200000041
R1和R2可以独立地为氢、(C1-C10)烷基、(C3-C10)烯基、(C3-C10)炔基、(C1-C10)烷氧基或羧基。烷基、烯基、炔基或烷氧基可以是未取代的或者取代有羧基或羟基。
R3可以为氢、氨基、(C1-C10)烷基氨基、(C3-C10)芳基氨基、(C1-C10)烷基、(C3-C10)烯基、(C3-C10)炔基、(C1-C10)烷氧基或羧基。氨基、烷基氨基、芳基氨基、烷基、烯基、炔基或烷氧基可以是未取代的或者取代有羧基或羟基。
在化学式1中,n是等于或大于1的整数。
由化学式1表示的化合物可以在其分子中具有一个或两个氨基。例如,由化学式1表示的化合物可以具有一个或两个氮原子。
例如,金属蚀刻抑制剂可以包括二乙酸化乙二胺(ethylenediaminediacetate,EDADA)、乙二胺四乙酸(EDATAA)、乙二胺(EDA)、间苯二甲胺(MXDA)、甲基二乙醇胺(MDEA)、二甲基单乙醇胺(DMMEA)、乙基二乙醇胺(EDEA)、二乙基单乙醇胺(DEMEA)、三乙胺(TEA)或三丁胺(TBA)。
金属氧化物增溶剂可以在1个大气压下具有90℃至200℃的沸点。金属氧化物增溶剂可以是对金属层MT和金属阻挡层BM的氧化能力小于氧化剂的氧化能力的酸。例如,金属氧化物增溶剂可以选自于磷酸、磷酸盐、具有3个至20个碳原子的羧酸或它们的组合。
相对于蚀刻组合物CO的总重量,氧化剂的量可以为10重量%至30重量%。当氧化剂的量小于10重量%时,蚀刻速率可能由于金属层MT和金属阻挡层BM的氧化速率的降低而降低。当氧化剂的量大于30重量%时,会过量地使用了氧化剂,因此蚀刻组合物CO的经济效率会降低。在特定实施例中,氧化剂可以具有15重量%至25重量%的量。
相对于蚀刻组合物CO的总重量,金属蚀刻抑制剂的量可以为0.01重量%至10重量%。当金属蚀刻抑制剂的量小于0.01重量%时,会难以降低金属层MT的蚀刻速率。当金属蚀刻抑制剂的量大于10重量%时,金属层MT的蚀刻速率会显著降低而难以实现蚀刻选择性,下面将对此进行讨论。在特定实施例中,金属蚀刻抑制剂的量可以为0.1重量%至3重量%。
相对于蚀刻组合物CO的总重量,水的量可以为10重量%至30重量%。金属氧化物增溶剂可以占据蚀刻组合物CO的剩余部分。水可以是纯水或去离子水。
蚀刻组合物CO还可以包括辅助氧化剂、pH调节剂或表面活性剂。辅助氧化剂可以提高金属层MT和金属阻挡层BM的氧化速率。辅助氧化剂可以包括酸性铵类化合物、氢卤酸类化合物或硫酸类化合物。酸性铵类化合物可以包括例如硫酸铵、过硫酸铵、乙酸铵、磷酸铵或氯化铵。氢卤酸类化合物可以包括例如高碘酸或碘酸。硫酸类化合物可以包括例如硫酸、甲磺酸或对甲苯磺酸。作为辅助氧化剂讨论的化合物可以单独使用,或者可以以两种或更多种的组合使用。
pH调节剂可以调节蚀刻组合物CO的pH。pH调节剂可以包括例如氨、胺和含氮杂环化合物中的一种或更多种。
表面活性剂可以去除在蚀刻工艺中产生的副产物(或残留物)。表面活性剂可以包括阴离子表面活性剂(例如,C8H17COOH)、阳离子表面活性剂(例如,C8H17NH2)或非离子表面活性剂(例如,聚氧乙烯烷基醚)。
蚀刻组合物CO中的氧化剂可以使金属层MT和金属阻挡层BM氧化,因此,可以在金属层MT和金属阻挡层BM上分别形成第一金属氧化物层OX1和第二金属氧化物层OX2。
相比于金属阻挡层BM,氧化剂可以更快地氧化金属层MT。金属蚀刻抑制剂可以覆盖并保护金属层MT的第一蚀刻目标表面ES1,因此可以防止氧化剂氧化金属层MT。
参照图3,蚀刻组合物CO中的金属氧化物增溶剂和水可以溶解第一金属氧化物层OX1和第二金属氧化物层OX2,这可以导致去除第一金属氧化物层OX1和第二金属氧化物层OX2。根据本公开的一些示例实施例的蚀刻组合物CO可以氧化并溶解金属层MT和金属阻挡层BM,结果可以蚀刻金属层MT和金属阻挡层BM。
例如,蚀刻组合物CO可以使用金属蚀刻抑制剂以实现范围为1.0至2.0的蚀刻选择性。蚀刻选择性是金属层MT的蚀刻速率与金属阻挡层BM的蚀刻速率的比率。
例如,参照图1至图3讨论的金属层MT和金属阻挡层BM可以在50℃至70℃的温度下被蚀刻20分钟至100分钟。
在特定实施例中,可以在高于室温(例如,大约25℃)的高温下执行湿蚀刻工艺。当在高温下执行湿蚀刻工艺时,可以以高速率蚀刻金属层MT和金属阻挡层BM。因为蚀刻组合物(CO)中的金属氧化物增溶剂具有相对高的熔点,所以可以避免在湿蚀刻工艺期间的挥发。
<实施例1至实施例3以及比较例1和比较例2>
下面的表1列出了根据实施例1至实施例3的蚀刻组合物的成分以及根据比较例1和比较例2的蚀刻组合物的成分,并且还列出了蚀刻工艺温度。
[表1]
Figure BDA0002205550200000061
Figure BDA0002205550200000071
[实验1]
以下方法评价了实施例1至实施例3以及比较例1和比较例2的蚀刻组合物,并且它们的结果展示于下面的表2中。
将蚀刻组合物施加到特定厚度的钨层(金属层)上。执行蚀刻工艺30分钟,然后测量钨层的厚度以确定蚀刻速率。使用X射线荧光(XRF)执行层厚度的测量。
另外,将蚀刻组合物施加到特定厚度的氮化钛层(金属阻挡层)上。执行蚀刻工艺30分钟,然后测量氮化钛层的厚度以确定蚀刻速率。使用X射线荧光(XRF)执行层厚度的测量。
[表2]
Figure BDA0002205550200000072
参照表2,因为比较例1的蚀刻组合物不包含金属蚀刻抑制剂,所以可以确定钨层的蚀刻速率大于氮化钛层的蚀刻速率的两倍。
[实验2]
为了在蚀刻工艺期间实现水的挥发和冷凝,在蚀刻浴的上部配备玻璃。以下方法评价了实施例1至实施例3和比较例2的蚀刻组合物,并且它们的结果展示于下面的表3中。
将蚀刻组合物施加到钨层(金属层)上。执行蚀刻工艺30分钟,然后测量钨层的厚度。然后,每3小时测量钨层的厚度,持续12小时。使用X射线荧光(XRF)执行层厚度的测量。
另外,将蚀刻组合物施加到氮化钛层(金属阻挡层)上。执行蚀刻工艺30分钟,然后测量氮化钛层的厚度。然后,每3小时测量氮化钛层的厚度,持续12小时。使用X射线荧光(XRF)执行层厚度的测量。
[表3]
Figure BDA0002205550200000081
Figure BDA0002205550200000091
参照表2或实验1的结果,可以确定的是,与比较例1的蚀刻组合物相比,实施例1至实施例3的包含金属蚀刻抑制剂的蚀刻组合物具有降低的钨层的蚀刻速率。在这个意义上,通过调节根据本公开的蚀刻组合物中的金属蚀刻抑制剂的量和种类,可以降低钨层的蚀刻速率。例如,可以调节钨层的蚀刻速率与氮化钛层的蚀刻速率的比率。
参照表3或实验2的结果,比较例2的蚀刻组合物对钨层的蚀刻速率在30分钟和12小时之间逐渐降低。在这个意义上,比较例2的蚀刻组合物的蚀刻选择性在30分钟和12小时之间逐渐降低。相反,可以确定的是,实施例1至实施例3的蚀刻组合物对钨层的蚀刻速率在30分钟和12小时之间相对均匀地保持。例如,实施例1至实施例3的蚀刻组合物的蚀刻选择性在蚀刻工艺期间均匀地保持。
图4至图14示出了显示出根据本公开的一些示例实施例的制造半导体器件的方法的截面图。图15示出了显示出图14中描绘的半导体器件的透视图。
参照图4,可以通过在衬底100上交替地且重复地沉积牺牲层151和介电层110来形成薄层结构TS。衬底100可以是例如硅衬底、锗衬底或硅锗衬底。牺牲层151可以由例如氮化硅层、氮氧化硅层或硅层形成。介电层110可以由例如氧化硅层形成。可以例如使用热化学气相沉积(CVD)、等离子体增强CVD、物理CVD工艺或原子层沉积(ALD)来沉积牺牲层151和介电层110。
可以在衬底100和薄层结构TS之间形成下介电层105。下介电层105可以由相对于牺牲层151和介电层110具有高蚀刻选择性的材料形成。例如,下介电层105可以包括高k介电层,诸如以氮化硅层、氧化铝层或氧化铪层为例。下介电层105可以形成为具有比牺牲层151和介电层110的厚度小的厚度。
参照图5,可以形成沟道孔CH以穿透薄层结构TS并暴露衬底100。形成沟道孔CH可以包括:在薄层结构TS上形成具有限定形成沟道孔CH的区域的开口的第一掩模图案(未示出);以及执行蚀刻工艺,其中使用第一掩模图案作为蚀刻掩模来蚀刻薄层结构TS。在蚀刻工艺期间,可以过蚀刻衬底100的顶表面。因此,衬底100的顶表面可以是凹陷的。在形成沟道孔CH之后,可以去除第一掩模图案。
参照图6,可以形成下半导体图案LSP以填充沟道孔CH的下部。下半导体图案LSP可以例如通过其中使用暴露于沟道孔CH的衬底100作为种子的选择性外延生长(SEG)工艺形成。
每个下半导体图案LSP可以形成为从衬底100突出并填充沟道孔CH的下部的柱的形状。下半导体图案LSP可以覆盖最下面的牺牲层151的侧壁。
下半导体图案LSP可以包括导电类型与衬底100的半导体材料的导电类型相同的半导体材料。例如,当执行选择性外延生长工艺时,下半导体图案LSP可以用杂质原位掺杂。又例如,在执行选择性外延生长工艺之后,可以利用杂质对下半导体图案LSP进行离子注入。下半导体图案LSP可以包括具有单晶结构或多晶结构的诸如硅的半导体材料。
参照图7,可以在沟道孔CH的内侧壁上顺序地形成竖直介电层140和第一半导体层SL1。竖直介电层140和第一半导体层SL1可以部分地填充每个沟道孔CH。沟道孔CH可以不完全被竖直介电层140和第一半导体层SL1填充。
在特定实施例中,形成竖直介电层140可以包括例如在每个沟道孔CH的内侧壁上顺序地形成阻挡介电层、电荷存储层和隧道介电层。阻挡介电层可以由例如氧化硅层、氮化硅层和氮氧化硅层中的一种或更多种形成。电荷存储层可以由例如氮化硅层、氮氧化硅层、富硅氮化物层、纳米晶体硅层和层叠捕获层中的一种或更多种形成。隧道介电层可以由例如氧化硅层形成。
第一半导体层SL1可以包括具有单晶结构或多晶结构的半导体材料。例如,第一半导体层SL1可以由多晶硅层、单晶硅层或非晶硅层形成。例如,可以使用原子层沉积或化学气相沉积来形成第一半导体层SL1。
参照图8,可以各向异性地蚀刻第一半导体层SL1和竖直介电层140,以在每个沟道孔CH中形成第一半导体柱SP1和竖直绝缘体145。第一半导体柱SP1和竖直绝缘体145均可以形成为其顶端和底端敞开的管的形状。第一半导体柱SP1和竖直绝缘体145可以部分地暴露下半导体图案LSP。
当各向异性地蚀刻第一半导体层SL1和竖直介电层140时,可以发生过蚀刻以在对应的下半导体图案LSP上形成第一凹陷区域RS1。
可以在沟道孔CH中形成第二半导体层SL2。第二半导体层SL2可以共形地形成,以不完全填充每个沟道孔CH。第二半导体层SL2可以部分地填充每个第一凹陷区域RS1。第二半导体层SL2可以将下半导体图案LSP电连接到第一半导体柱SP1。第二半导体层SL2可以包括具有单晶结构或多晶结构的半导体材料。例如,第二半导体层SL2可以由多晶硅层、单晶硅层或非晶硅层形成。例如,可以使用原子层沉积或化学气相沉积来形成第二半导体层SL2。
参照图9,可以形成掩埋介电层以完全填充沟道孔CH的每个内部。掩埋介电层可以是例如由SOG(或旋涂玻璃)技术形成的氧化硅层。第二半导体层SL2和掩埋介电层的上部可以是凹陷的,以在每个沟道孔CH中形成第二半导体柱SP2和掩埋介电图案150。第一半导体柱SP1也可以与第二半导体层SL2一起凹陷。第一半导体柱SP1和第二半导体柱SP2可以构成沟道结构CS。
第二半导体柱SP2可以形成为具有一端封闭的管形状、一端封闭的中空圆柱形状或者杯形状。掩埋介电图案150可以形成为具有填充通道孔CH的内部的条形状。
导电焊盘137可以形成为与对应的沟道结构CS连接。可以通过利用导电材料填充沟道结构CS和掩埋介电图案的凹陷部分来形成导电焊盘137。例如,导电焊盘137可以由掺杂有导电类型与衬底100的导电类型相同的杂质的硅形成。
参照图10,可以将薄层结构TS图案化以形成暴露衬底100的沟槽TR。例如,形成沟槽TR可以包括:在薄层结构TS上形成第二掩模图案(未示出);以及执行蚀刻工艺,其中使用第二掩模图案作为蚀刻掩模来蚀刻薄层结构TS。在蚀刻工艺期间可以过蚀刻衬底100的顶表面。因此,衬底100的顶表面可以是凹陷的。在形成沟槽TR之后,可以去除第二掩模图案。可以形成沟槽TR以暴露牺牲层151和介电层110的侧壁。
参照图11,可以通过选择性地去除暴露于沟槽TR的牺牲层151来形成第二凹陷区域RS2。第二凹陷区域RS2可以是去除了牺牲层151的空白空间。第二凹陷区域RS2可以部分地暴露竖直绝缘体145。第二凹陷区域RS2还可以部分地暴露下半导体图案LSP。
可以在每个下半导体图案LSP的暴露的侧壁上形成栅极介电层GI。例如,下半导体图案LSP的暴露的侧壁可以经历氧化工艺以形成由氧化物层组成的栅极介电层GI。
参照图12,可以顺序地形成栅极阻挡层GB、金属阻挡层BM和金属层MT以填充第二凹陷区域RS2。可以共形地沉积栅极阻挡层GB、金属阻挡层BM和金属层MT。金属层MT可以形成为具有足够的厚度以完全填充每个第二凹陷区域RS2。金属层MT可以形成为不完全填充每个沟槽TR。
栅极阻挡层GB可以包括例如氧化铝层或氧化铪层。金属阻挡层BM可以是例如金属氮化物层,金属氮化物层可以包括例如氮化钛(TiN)层、氮化钽(TaN)层、氮化钨(WN)层、氮化镍(NiN)层、氮化钴(CoN)层和氮化铂(PtN)层中的一种或更多种。金属层MT可以包括例如铝、铜、钼和钴中的一种或更多种。
参照图13,可以蚀刻金属层MT和金属阻挡层BM以分别形成金属图案MTP和金属阻挡图案BMP。金属层MT和金属阻挡层BM的蚀刻可以基本上类似于上面参照图1至图3讨论的金属层MT和金属阻挡层BM的蚀刻。例如,金属层MT和金属阻挡层BM的蚀刻可以包括使沟槽TR在其中接收根据本公开的示例实施例的蚀刻组合物。
栅电极GE可以由形成在每个第二凹陷区域RS2中的金属图案MTP和金属阻挡图案BMP构成。彼此竖直相邻的栅电极GE可以隔着介电层110彼此间隔开。
参照图14和图15,可以通过去除暴露于沟槽TR的栅极阻挡层GB来形成栅极阻挡图案GBP。栅极阻挡图案GBP可以置于栅电极GE和竖直绝缘体145之间。堆叠结构SS可以由交替地且重复地堆叠的栅电极GE和介电层110构成。
可以在衬底100中形成公共源极区120。可以通过对暴露于沟槽TR的衬底100执行离子注入来形成公共源极区120。公共源极区120可以与衬底100构成PN结。
位线插塞BPLG可以形成为与导电焊盘137连接,并且位线BL可以形成为与位线插塞BPLG连接。位线BL可以通过位线插塞BPLG电连接到沟道结构CS。
<实施例4和实施例5以及比较例3和比较例4>
下面的表4列出了根据实施例4至实施例5的蚀刻组合物的成分以及根据比较例3和比较例4的蚀刻组合物的成分。
[表4]
成分 实施例4 实施例5 比较例3 比较例4
金属氧化物增溶剂(磷酸) 65.8 65.8 65.8 65.8
氧化剂(硝酸) 16.5 16.5 16.5 16.5
金属蚀刻抑制剂 TEA 0.5 EDADA 0.5 DETA 0.5 TETA 0.5
余量 余量 余量 余量
总和(wt%) 100 100 100 100
实施例4的三乙胺(TEA)是具有一个氮原子的胺类化合物,其具有式N(CH2CH3)3,实施例5的二乙酸化乙二胺(EDADA)是具有两个氮原子的胺类化合物,其具有式NH2CH2CH2NH2·2CH3COOH,比较例3的二乙撑三胺(DETA)是具有三个氮原子的胺类化合物,其具有式HN(CH2CH2NH2)2,比较例4的三乙撑四胺(TETA)是具有四个氮原子的胺类化合物,其具有式(CH2NHCH2CH2NH2)2
[实验3]
使用实施例4和实施例5以及比较例3和比较例4的蚀刻组合物对上面参照图12和图13讨论的金属层MT和金属阻挡层BM执行蚀刻工艺。使用钨层作为金属层MT,并使用氮化钛层作为金属阻挡层BM。采用SEM图像来确定通过蚀刻工艺形成的金属图案MTP和金属阻挡图案BMP的侧壁轮廓。
图16A示出了显示出通过使用实施例4的蚀刻组合物的蚀刻工艺形成的所得物的截面的图像。图16B示出了显示出通过使用比较例3的蚀刻组合物的蚀刻工艺形成的所得物的截面的图像。图17示出了显示出通过使用实施例4和实施例5以及比较例3和比较例4的蚀刻组合物的蚀刻工艺形成的所得物的侧壁的轮廓误差率的曲线图。
参照图16A和图17,通过图像可以确定的是,由于使用实施例4和实施例5的蚀刻组合物执行蚀刻工艺,金属图案MTP和金属阻挡图案BMP的侧壁平滑地形成。例如,可以发现,根据本公开的一些示例性实施例形成的金属图案MTP和金属阻挡图案BMP的侧壁具有相对低的轮廓误差率。
参照图16B和图17,通过图像可以确定的是,由于使用比较例3和比较例4的蚀刻组合物执行蚀刻工艺,金属图案MTP和金属阻挡图案BMP的侧壁粗糙地形成。例如,可以发现,根据本公开的比较示例形成的金属图案MTP和金属阻挡图案BMP的侧壁具有相对高的轮廓误差率。特别地,当金属蚀刻抑制剂包括具有三个或更多个氮原子的胺类化合物时,可以确定轮廓误差率显著增加(参见图17)。
因此,根据本公开的一些示例实施例的蚀刻组合物可以使用具有两个或更少个氮原子的胺类化合物作为金属蚀刻抑制剂。因此,上面参照图14和图15讨论的半导体器件的栅电极GE可以形成为具有良好的轮廓而没有缺陷。
根据本公开的蚀刻组合物可以以相对高的速率蚀刻金属阻挡层和金属层。当根据本公开的蚀刻组合物用于蚀刻金属阻挡层和金属层时,金属阻挡层和金属层之间的蚀刻选择性可以长时间一致地保持。
本公开的此详细描述不应被解释为限于在此阐述的示例性实施例,在不脱离本公开的精神和范围的情况下,本公开意图涵盖本公开的各种组合、修改和变化。所附权利要求书应被解释为包括其他实施例。

Claims (20)

1.一种蚀刻金属阻挡层和金属层的方法,所述方法包括:
在衬底上形成所述金属阻挡层和所述金属层;以及
使用蚀刻组合物蚀刻所述金属阻挡层和所述金属层,
其中,所述蚀刻组合物包括:
氧化剂,所述氧化剂选自于由硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸和它们的组合组成的组;
金属蚀刻抑制剂,所述金属蚀刻抑制剂包括由下面的式(1)表示的化合物;以及
金属氧化物增溶剂,所述金属氧化物增溶剂选自于由磷酸、磷酸盐、具有3个至20个碳原子的羧酸和它们的组合组成的组,
Figure FDA0002205550190000011
其中,在式(1)中,R1和R2独立地为氢、C1-C10烷基、C3-C10烯基、C3-C10炔基、C1-C10烷氧基或羧基,
R3为氢、氨基、C1-C10烷基氨基、C3-C10芳基氨基、C1-C10烷基、C3-C10烯基、C3-C10炔基、C1-C10烷氧基或羧基,
n是等于或大于1的整数,
R1、R2和R3独立地为未取代的或者取代有羧基或羟基。
2.根据权利要求1所述的方法,其中,所述金属层包括钨、铝、铜、钼或钴中的至少一种。
3.根据权利要求1所述的方法,其中,所述金属阻挡层包括氮化钛层、氮化钽层、氮化钨层、氮化镍层、氮化钴层或氮化铂层中的至少一种。
4.根据权利要求1所述的方法,其中,
相对于所述蚀刻组合物的总重量,所述氧化剂的量为10重量%至30重量%,
相对于所述蚀刻组合物的所述总重量,所述金属蚀刻抑制剂的量为0.01重量%至10重量%。
5.根据权利要求1所述的方法,其中,
所述蚀刻组合物还包括水,
相对于所述蚀刻组合物的总重量,所述水的量为10重量%至30重量%。
6.一种蚀刻氮化钛层和钨层的方法,所述方法包括:
在衬底上形成所述氮化钛层和所述钨层;以及
使用蚀刻组合物蚀刻所述氮化钛层和所述钨层,
其中,所述蚀刻组合物包括:
氧化剂,所述氧化剂选自于由硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸和它们的组合组成的组;
金属蚀刻抑制剂,所述金属蚀刻抑制剂包括具有一个或两个氨基的胺类化合物;以及
金属氧化物增溶剂,所述金属氧化物增溶剂选自于由磷酸、磷酸盐、具有3个至20个碳原子的羧酸和它们的组合组成的组。
7.根据权利要求6所述的方法,其中,所述蚀刻组合物对所述钨层的蚀刻速率大于所述蚀刻组合物对所述氮化钛层的蚀刻速率。
8.根据权利要求6所述的方法,其中,
相对于所述蚀刻组合物的总重量,所述氧化剂的量为10重量%至30重量%,
相对于所述蚀刻组合物的所述总重量,所述金属蚀刻抑制剂的量为0.01重量%至10重量%。
9.根据权利要求6所述的方法,其中,
所述蚀刻组合物还包括水,
相对于所述蚀刻组合物的总重量,所述水的量为10重量%至30重量%。
10.根据权利要求6所述的方法,其中,所述胺类化合物包括二乙酸化乙二胺、乙二胺四乙酸、乙二胺、间苯二甲胺、甲基二乙醇胺、二甲基单乙醇胺、乙基二乙醇胺、二乙基单乙醇胺、三乙胺或三丁胺中的至少一种。
11.一种制造半导体器件的方法,所述方法包括:
形成在衬底上交替地且重复地堆叠的牺牲层和介电层;
选择性地去除所述牺牲层以形成凹陷区域;
形成填充所述凹陷区域的金属阻挡层和金属层;以及
使用蚀刻组合物部分地蚀刻所述金属阻挡层和所述金属层,以在每个所述凹陷区域中形成金属阻挡图案和金属图案,
其中,所述蚀刻组合物包括:
氧化剂,所述氧化剂选自于由硝酸、溴酸、碘酸、高氯酸、过溴酸、高碘酸、硫酸、甲磺酸、对甲苯磺酸、苯磺酸和它们的组合组成的组;
金属蚀刻抑制剂,所述金属蚀刻抑制剂包括具有一个或两个氨基的胺类化合物;以及
金属氧化物增溶剂,所述金属氧化物增溶剂选自于由磷酸、磷酸盐、具有3个至20个碳原子的羧酸和它们的组合组成的组。
12.根据权利要求11所述的方法,其中,形成在每个所述凹陷区域中的所述金属阻挡图案和所述金属图案构成电极,
所述电极隔着所述介电层彼此竖直地间隔开。
13.根据权利要求11所述的方法,其中,所述金属层包括钨、铝、铜、钼或钴中的至少一种。
14.根据权利要求11所述的方法,其中,所述金属阻挡层包括氮化钛层、氮化钽层、氮化钨层、氮化镍层、氮化钴层或氮化铂层中的至少一种。
15.根据权利要求11所述的方法,其中,
相对于所述蚀刻组合物的总重量,所述氧化剂的量为10重量%至30重量%,
相对于所述蚀刻组合物的所述总重量,所述金属蚀刻抑制剂的量为0.01重量%至10重量%。
16.根据权利要求11所述的方法,其中,所述蚀刻组合物还包括水,
相对于所述蚀刻组合物的总重量,所述水的量为10重量%至30重量%。
17.根据权利要求11所述的方法,其中,所述胺类化合物是由下面的式(1)表示的化合物,
Figure FDA0002205550190000041
其中,在式(1)中,R1和R2独立地为氢、C1-C10烷基、C3-C10烯基、C3-C10炔基、C1-C10烷氧基或羧基,
R3为氢、氨基、C1-C10烷基氨基、C3-C10芳基氨基、C1-C10烷基、C3-C10烯基、C3-C10炔基、C1-C10烷氧基或羧基,
n是等于或大于1的整数,
R1、R2和R3独立地为未取代的或者取代有羧基或羟基。
18.根据权利要求11所述的方法,其中,部分地蚀刻所述金属阻挡层和所述金属层在50℃至70℃的温度下执行20分钟至100分钟。
19.根据权利要求11所述的方法,所述方法还包括形成穿透所述牺牲层和所述介电层的沟道结构,其中,所述沟道结构与所述衬底电连接。
20.根据权利要求11所述的方法,其中,形成填充所述凹陷区域的所述金属阻挡层和所述金属层包括:
共形地沉积所述金属阻挡层以部分地填充每个所述凹陷区域,
共形地沉积所述金属层直到所述凹陷区域被完全填充。
CN201910879827.7A 2018-09-18 2019-09-18 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法 Active CN110911278B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311566341.0A CN117448824A (zh) 2018-09-18 2019-09-18 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2018-0111342 2018-09-18
KR1020180111342A KR102665340B1 (ko) 2018-09-18 2018-09-18 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311566341.0A Division CN117448824A (zh) 2018-09-18 2019-09-18 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法

Publications (2)

Publication Number Publication Date
CN110911278A true CN110911278A (zh) 2020-03-24
CN110911278B CN110911278B (zh) 2024-07-05

Family

ID=69773780

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910879827.7A Active CN110911278B (zh) 2018-09-18 2019-09-18 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
CN202311566341.0A Pending CN117448824A (zh) 2018-09-18 2019-09-18 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311566341.0A Pending CN117448824A (zh) 2018-09-18 2019-09-18 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法

Country Status (3)

Country Link
US (2) US11028488B2 (zh)
KR (1) KR102665340B1 (zh)
CN (2) CN110911278B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022026822A1 (en) * 2020-07-30 2022-02-03 Entegris, Inc. Method for removing hard masks

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110070708A1 (en) * 2009-09-21 2011-03-24 Force Mos Technology Co. Ltd. Method for making trench MOSFET with shallow trench structures
CN102753651A (zh) * 2010-02-25 2012-10-24 旭化成株式会社 氧化铜用蚀刻液以及使用其的蚀刻方法
US20130092872A1 (en) * 2011-10-18 2013-04-18 Soulbrain Co., Ltd. Compositions for etching and methods of forming a semiconductor device using the same
US20140141616A1 (en) * 2012-11-21 2014-05-22 Samsung Electronics Co., Ltd. Etching composition and method of manufacturing semiconductor device using the same
CN104145324A (zh) * 2011-12-28 2014-11-12 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
KR20150050278A (ko) * 2013-10-31 2015-05-08 솔브레인 주식회사 질화티타늄막 및 텅스텐막의 적층체용 식각 조성물, 이를 이용한 식각 방법 및 이로부터 제조된 반도체 소자
US20150162213A1 (en) * 2012-05-11 2015-06-11 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
CN104730870A (zh) * 2013-12-20 2015-06-24 气体产品与化学公司 用于除去氮化钛硬掩模和蚀刻残留物的组合物
US20150259573A1 (en) * 2014-03-11 2015-09-17 Cabot Microelectronics Corporation Composition for tungsten cmp
CN105102584A (zh) * 2013-03-04 2015-11-25 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US20150348799A1 (en) * 2011-10-18 2015-12-03 Samsung Electronics Co., Ltd. Compositions for etching
CN105374826A (zh) * 2015-10-20 2016-03-02 中国科学院微电子研究所 三维半导体器件及其制造方法
US20160351417A1 (en) * 2015-06-01 2016-12-01 Kabushiki Kaisha Toshiba Substrate treatment method and substrate treatment apparatus
CN106868511A (zh) * 2015-12-11 2017-06-20 东友精细化工有限公司 用于钨层的蚀刻溶液组合物、用其制作电子器件的方法及电子器件
CN107564915A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
KR20180058610A (ko) * 2016-11-24 2018-06-01 삼성전자주식회사 식각 조성물 및 이를 이용한 집적회로 소자의 제조 방법
CN108122752A (zh) * 2016-11-29 2018-06-05 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100944300B1 (ko) * 2001-10-22 2010-02-24 미츠비시 가스 가가쿠 가부시키가이샤 알루미늄/몰리브덴 적층막의 에칭 방법
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
KR100603136B1 (ko) 2004-08-20 2006-07-20 테크노세미켐 주식회사 텅스텐막 연마용 cmp 조성물
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
JP2013237873A (ja) 2010-08-06 2013-11-28 Nagase Chemtex Corp エッチング液組成物及び金属配線の形成方法
KR20120067198A (ko) 2010-12-15 2012-06-25 제일모직주식회사 에칭 페이스트, 그 제조방법 및 이를 이용한 패턴 형성방법
KR102190370B1 (ko) 2014-01-10 2020-12-11 삼성전자주식회사 도전 패턴의 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
WO2018136466A1 (en) * 2017-01-17 2018-07-26 Entegris, Inc. Post-etch residue removal for advanced node beol processing
KR20180088282A (ko) * 2017-01-26 2018-08-03 주식회사 이엔에프테크놀로지 식각 조성물
CN108359987A (zh) * 2017-01-26 2018-08-03 易案爱富科技有限公司 蚀刻组合物

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110070708A1 (en) * 2009-09-21 2011-03-24 Force Mos Technology Co. Ltd. Method for making trench MOSFET with shallow trench structures
CN102753651A (zh) * 2010-02-25 2012-10-24 旭化成株式会社 氧化铜用蚀刻液以及使用其的蚀刻方法
US20130092872A1 (en) * 2011-10-18 2013-04-18 Soulbrain Co., Ltd. Compositions for etching and methods of forming a semiconductor device using the same
US20150348799A1 (en) * 2011-10-18 2015-12-03 Samsung Electronics Co., Ltd. Compositions for etching
CN104145324A (zh) * 2011-12-28 2014-11-12 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US20150162213A1 (en) * 2012-05-11 2015-06-11 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
US20140141616A1 (en) * 2012-11-21 2014-05-22 Samsung Electronics Co., Ltd. Etching composition and method of manufacturing semiconductor device using the same
CN105102584A (zh) * 2013-03-04 2015-11-25 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
CN105874568A (zh) * 2013-10-11 2016-08-17 Ekc技术公司 用于从包含低介电常数介电材料和铜的半导体设备基板选择性移除金属硬遮罩和其它残留物的方法和组合物
US20160254182A1 (en) * 2013-10-11 2016-09-01 E. I. Du Pont De Nemours And Company Removal Composition for Selectively Removing Hard Mask and Methods Thereof
KR20150050278A (ko) * 2013-10-31 2015-05-08 솔브레인 주식회사 질화티타늄막 및 텅스텐막의 적층체용 식각 조성물, 이를 이용한 식각 방법 및 이로부터 제조된 반도체 소자
CN104730870A (zh) * 2013-12-20 2015-06-24 气体产品与化学公司 用于除去氮化钛硬掩模和蚀刻残留物的组合物
US20150259573A1 (en) * 2014-03-11 2015-09-17 Cabot Microelectronics Corporation Composition for tungsten cmp
US20160351417A1 (en) * 2015-06-01 2016-12-01 Kabushiki Kaisha Toshiba Substrate treatment method and substrate treatment apparatus
CN105374826A (zh) * 2015-10-20 2016-03-02 中国科学院微电子研究所 三维半导体器件及其制造方法
CN106868511A (zh) * 2015-12-11 2017-06-20 东友精细化工有限公司 用于钨层的蚀刻溶液组合物、用其制作电子器件的方法及电子器件
KR20180058610A (ko) * 2016-11-24 2018-06-01 삼성전자주식회사 식각 조성물 및 이를 이용한 집적회로 소자의 제조 방법
CN108122752A (zh) * 2016-11-29 2018-06-05 三星电子株式会社 蚀刻组合物和通过使用其制造半导体器件的方法
CN107564915A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法

Also Published As

Publication number Publication date
US20200087798A1 (en) 2020-03-19
US11028488B2 (en) 2021-06-08
CN117448824A (zh) 2024-01-26
KR102665340B1 (ko) 2024-05-14
CN110911278B (zh) 2024-07-05
US20210254224A1 (en) 2021-08-19
US11795550B2 (en) 2023-10-24
KR20200032782A (ko) 2020-03-27

Similar Documents

Publication Publication Date Title
US10995269B2 (en) Etchant composition and method of fabricating integrated circuit device using the same
CN108122752B (zh) 蚀刻组合物和通过使用其制造半导体器件的方法
TWI605518B (zh) 積體電路結構及其製造方法
US10573513B2 (en) Semiconductor structures including liners comprising alucone and related methods
KR102424391B1 (ko) 식각 조성물 및 이를 이용한 집적회로 소자의 제조 방법
JP2009512195A (ja) ゲートスペーサ酸化物材料を選択的にエッチするための組成物および方法
TW201608615A (zh) 半導體裝置與其形成方法
CN105573052A (zh) 蚀刻剂组合物及使用其制造半导体装置的方法
CN108122828B (zh) 集成电路结构及其形成方法
US10510593B2 (en) Contact openings and methods forming same
TW201823518A (zh) 濕蝕刻化學品
US11142694B2 (en) Etchant composition and method of fabricating semiconductor device
CN110911278B (zh) 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
US11798939B2 (en) Fin field effect transistor (FinFET) device with protection layer
US11223014B2 (en) Semiconductor structures including liners comprising alucone and related methods
US10008408B2 (en) Devices and methods of forming asymmetric line/space with barrierless metallization
US11427759B2 (en) Etchant compositions for metal-containing films and methods of manufacturing integrated circuit devices using the etchant compositions
KR20220019585A (ko) 식각 조성물 및 이를 이용한 반도체 소자의 제조방법
KR20220061861A (ko) 식각 조성물 및 이를 이용한 반도체 소자의 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant