CN109217278B - Surge protection circuit, circuit system and electronic equipment - Google Patents

Surge protection circuit, circuit system and electronic equipment Download PDF

Info

Publication number
CN109217278B
CN109217278B CN201811382198.9A CN201811382198A CN109217278B CN 109217278 B CN109217278 B CN 109217278B CN 201811382198 A CN201811382198 A CN 201811382198A CN 109217278 B CN109217278 B CN 109217278B
Authority
CN
China
Prior art keywords
voltage
switching tube
circuit
detection unit
input voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811382198.9A
Other languages
Chinese (zh)
Other versions
CN109217278A (en
Inventor
罗旭程
程剑涛
胡建伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Awinic Technology Co Ltd
Original Assignee
Shanghai Awinic Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Awinic Technology Co Ltd filed Critical Shanghai Awinic Technology Co Ltd
Priority to CN201811382198.9A priority Critical patent/CN109217278B/en
Publication of CN109217278A publication Critical patent/CN109217278A/en
Application granted granted Critical
Publication of CN109217278B publication Critical patent/CN109217278B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02HEMERGENCY PROTECTIVE CIRCUIT ARRANGEMENTS
    • H02H9/00Emergency protective circuit arrangements for limiting excess current or voltage without disconnection
    • H02H9/04Emergency protective circuit arrangements for limiting excess current or voltage without disconnection responsive to excess voltage

Landscapes

  • Emergency Protection Circuit Devices (AREA)

Abstract

The application provides a surge protection circuit, a circuit system and electronic equipment, which comprise a first detection unit, a surge bleeder unit and a second detection unit; the first detection unit is respectively connected with the surge bleeder unit and the second detection unit; the first detection unit is used for receiving input voltage and control voltage, outputting first conduction voltage when the input voltage exceeds the clamping voltage, and increasing the first conduction voltage when the control voltage exceeds a control voltage threshold; the surge bleeder unit is used for receiving the input voltage and the first conduction voltage, bleeder the input voltage and outputting bleeder current; the second detection unit is used for receiving the input voltage and the first conduction voltage, obtaining detection current with a ratio relation with the bleeder current, and outputting control voltage corresponding to the detection current according to the detection current. When the control voltage is higher than the control voltage threshold, the clamping voltage is reduced, the maximum input voltage is reduced, the output first conduction voltage is increased, and the maximum power born by the surge protection circuit is reduced.

Description

Surge protection circuit, circuit system and electronic equipment
Technical Field
The present application relates to the field of electronic circuits, and in particular, to a surge protection circuit, a circuit system, and an electronic device.
Background
Surge voltage is an instantaneous overvoltage exceeding a normal voltage and generally refers to a large current caused by a high voltage, such as "wave", occurring in the power grid for a short period of time. The surge voltage is mainly generated by lightning strike, large-scale load on the power grid is connected or disconnected, and the like. Surge voltages can cause damage to computers and various hardware devices, damage to power supply devices, unstable operation of electronic devices, and accelerated aging.
In order to ensure safe and reliable operation of various hardware devices and electronic devices and prolong the service life of the hardware devices and the electronic devices, a surge protection device is additionally arranged at ports of the various hardware devices and the electronic devices, surge voltage is timely discharged, and the various hardware devices and the electronic devices are prevented from being damaged by the surge voltage, so that safe and reliable operation of the various hardware devices and the electronic devices is ensured.
In the prior art, a surge protection circuit applied to an integrated chip is generally used as a surge voltage discharge channel through a surge tube, so that when the surge voltage on an input port of the circuit exceeds a preset value, the surge tube is conducted to discharge the surge voltage, and the circuit is protected. The surge tube is usually an N-type Metal-Oxide-Semiconductor (NMOS), but in the surge protection circuit of the prior art, the NMOS tube receives a larger maximum power, so that the NMOS tube is easy to blow.
Disclosure of Invention
Based on the shortcomings of the prior art, the application provides a surge protection circuit to reduce the maximum power born in the surge circuit.
In order to achieve the above object, the following schemes are proposed:
the first aspect of the present application discloses a surge protection circuit comprising:
the surge leakage detection device comprises a first detection unit, a surge leakage unit and a second detection unit; the first detection unit is respectively connected with the surge bleeder unit and the second detection unit;
the first detection unit is used for receiving input voltage and control voltage, outputting a first conduction voltage when the input voltage exceeds a clamping voltage, and increasing the first conduction voltage when the control voltage exceeds a control voltage threshold;
the surge bleeder unit is used for receiving the input voltage and the first conduction voltage output by the first detection unit, bleeder the input voltage according to the input voltage and the first conduction voltage, and outputting bleeder current;
the second detection unit is used for receiving the input voltage and the first conduction voltage output by the first detection unit, obtaining detection current with a ratio relation with the leakage current according to the input voltage and the first conduction voltage, and outputting the control voltage corresponding to the detection current according to the detection current.
Optionally, in the surge protection circuit, the second detection unit includes:
the input voltage is received by one end of the first resistor, and the other end of the first resistor is connected with the second end of the first switch tube;
the first end of the first switching tube is grounded, and the control end of the first switching tube receives the first conduction voltage; after the first switching tube is conducted under the action of the first conducting voltage, the first resistor and the connecting branch of the first switching tube obtain the detection current, and the control voltage is output.
Optionally, in the surge protection circuit, the first detection unit includes:
the voltage stabilizing circuit, the second resistor and the second switching tube;
the input end of the voltage stabilizing circuit receives the input voltage, the output end of the voltage stabilizing circuit is grounded through the second resistor, the voltage stabilizing circuit comprises at least two first voltage stabilizing diodes which are connected in series and are used for generating the clamping voltage, and the first voltage stabilizing diodes in the voltage stabilizing circuit are reversely connected in the voltage stabilizing circuit;
one end of the second resistor far away from the ground is used for outputting the first conduction voltage;
the first end and the second end of the second switching tube are connected in parallel with at least one first zener diode in the voltage stabilizing circuit, and the control end of the second switching tube receives the control voltage.
Optionally, in the surge protection circuit, the first detection unit further includes: the positive electrode of the second zener diode is connected with the control end of the second switching tube, and the negative electrode of the second zener diode is connected with the first end of the second switching tube.
Optionally, in the above surge protection circuit, the surge bleed unit includes:
the second end of the third switching tube receives the input voltage, the control end of the third switching tube is used for receiving the first conduction voltage, and the first end of the third switching tube is grounded.
Optionally, in the surge protection circuit, the switching tube includes: and a transistor.
The second aspect of the application discloses a circuit system comprising:
the input port of the working circuit is used for receiving input voltage, and the working circuit is used for working when the input voltage is at a normal voltage value;
the surge protection circuit according to any one of the preceding claims, wherein the surge protection circuit receives the input voltage and is configured to maintain the input voltage of the operating circuit at a normal voltage value.
The third aspect of the present application discloses an electronic device, comprising:
a surge protection circuit according to any preceding claim.
According to the technical scheme, in the surge protection circuit provided by the application, when the input voltage exceeds the clamping voltage, the first detection unit generates the first conduction voltage, so that the surge bleeder unit which receives the first conduction voltage bleeder the input voltage and outputs bleeder current. When the second detection unit receives the first conduction voltage, the second detection unit outputs detection current in a ratio relation with the leakage current, and the second detection unit outputs corresponding control voltage according to the detection current. After the first detection unit receives the control voltage, when the control voltage is higher than a control voltage threshold value, the output first conduction voltage is increased, the current leakage capacity of the surge current leakage unit is enhanced, the clamping voltage is reduced, the maximum value of the input voltage is reduced, the maximum power born by the surge protection circuit is further reduced, the duration of the maximum input voltage is shortened, and the surge protection circuit is safer.
Drawings
In order to more clearly illustrate the embodiments of the present application or the technical solutions in the prior art, the drawings that are required to be used in the embodiments or the description of the prior art will be briefly described below, and it is obvious that the drawings in the following description are only embodiments of the present application, and that other drawings can be obtained according to the provided drawings without inventive effort for a person skilled in the art.
FIG. 1 is a circuit diagram of a conventional surge protection circuit;
FIG. 2 is a diagram of simulation results of a conventional surge protection circuit;
FIG. 3 is a block diagram of a surge protection circuit according to an embodiment of the present application;
FIG. 4 is a circuit diagram of a surge protection circuit according to an embodiment of the present application;
FIG. 5 is a diagram of simulation results of a surge protection circuit according to an embodiment of the present application;
fig. 6 is a block diagram of a circuit system according to an embodiment of the present application.
Detailed Description
The following description of the embodiments of the present application will be made clearly and completely with reference to the accompanying drawings, in which it is apparent that the embodiments described are only some embodiments of the present application, but not all embodiments. All other embodiments, which can be made by those skilled in the art based on the embodiments of the application without making any inventive effort, are intended to be within the scope of the application.
At present, as shown in fig. 1, a conventional surge protection circuit applied to an integrated circuit includes a voltage stabilizing circuit 101, a first resistor R1 and a first switching tube M1. The input end of the voltage stabilizing circuit receives the input voltage VIN, and the output end of the voltage stabilizing circuit 101 is grounded through a first resistor R1. The second end of the first switching tube M1 receives the input voltage VIN, the second end of the first switching tube M1 is grounded, and the control end of the first switching tube M1 is connected with the output end of the voltage stabilizing circuit 101. The voltage stabilizing circuit 101 includes a plurality of first voltage stabilizing diodes Z1, and the plurality of first voltage stabilizing diodes Z1 are connected in the circuit in opposite directions. The first switching tube M1 may be an NMOS tube, where a first end of the first switching tube M1 is a source, a control end is a gate, and a second end is a drain.
When the input voltage VIN exceeds the clamp voltage, that is, when a surge voltage occurs, the voltage stabilizing circuit 101 is turned on, and outputs a first turn-on voltage V1. The control end of the first switching tube M1 receives the first turn-on voltage V1, and when the first turn-on voltage V1 exceeds the turn-on voltage of the first switching tube M1, the first switching tube M1 is turned on to drain the input voltage VIN.
Referring to fig. 2, the simulation results of fig. 1 are provided in an embodiment of the present application. When the surge voltage is 100V, the maximum value of the drain current IIN is 29.851a, and the corresponding input voltage VIN is 38.296V. Thus, according to the power calculation formula: p=ui, resulting in maximum transient power experienced by the switching tube in the surge protection circuit of pmax= 29.851 × 38.296 = 1143.17W. Although the existing surge protection circuit can reduce the surge voltage to the clamping voltage, the power born by the switching tube is very large, and the switching tube is easy to burn out, so the existing surge protection circuit is not safe.
In order to solve the above problems, an embodiment of the present application provides a surge protection circuit to reduce the maximum power born in the surge protection circuit.
Referring to fig. 3, an embodiment of the present application discloses a surge protection circuit, including:
a first detection unit 301, a surge relief unit 302, a second detection unit 303. The first detection unit 301 is connected to the surge relief unit 302 and the second detection unit 303, respectively.
The first detection unit 301 is configured to receive an input voltage and a control voltage, and when the input voltage exceeds a clamp voltage, the first detection unit 301 outputs a first turn-on voltage. When the control voltage exceeds the control voltage threshold, the first detection unit 301 decreases the clamp voltage and increases the first on-voltage. The clamping voltage can be manually set according to actual requirements.
Alternatively, referring to fig. 4, in another embodiment of the present application, an implementation of the first detection unit 401 includes:
the voltage stabilizing circuit 404, the second resistor R2, and the second switching tube M2.
The input terminal of the voltage stabilizing circuit 404 receives the input voltage VIN, the output terminal of the voltage stabilizing circuit 404 is grounded through the second resistor R2, and the voltage stabilizing circuit 404 includes at least two first voltage stabilizing diodes Z1 connected in series for generating the clamping voltage. The first zener diode Z1 in the voltage stabilizing circuit 404 is connected to the voltage stabilizing circuit 404 in opposite directions.
It should be noted that, the reverse breakdown voltage is generated at two ends of the turned-on first zener diode Z1, and n first zener diodes Z1 are connected in series in the voltage stabilizing circuit 404, and n times of the reverse breakdown voltage is generated after the turned-on first zener diode Z1 is turned-on. The n first zener diodes Z1 generate a clamping voltage, and the clamping voltage can be adjusted by connecting the number of the first zener diodes Z1 in series.
One end of the second resistor R2 far from the ground is used for outputting the first turn-on voltage V1.
The first end and the second end of the second switching tube M2 are connected in parallel with at least one first zener diode Z1 in the voltage stabilizing circuit 404. The number of the first zener diodes Z1 connected in parallel to the second switching tube M2 is smaller than the total number of the first zener diodes Z1 in the voltage stabilizing circuit 404. The control terminal of the second switching tube M2 receives the control voltage V2.
The second switching tube M2 may be a PMOS tube, the first end of the second switching tube M2 is a source, the control end of the second switching tube M2 is a gate, and the second end of the second switching tube M2 is a drain.
It should be noted that the number of the first zener diodes Z1 connected in parallel with the second switching tube M2 may be determined according to actual needs, and the second switching tube M2 may be connected in parallel with any plurality of the first zener diodes Z1 in the voltage stabilizing circuit 404, and the position of the first zener diode Z1 connected in parallel with the second switching tube M2 in the voltage stabilizing circuit 404 does not affect the implementation of the embodiment of the present application.
When the input voltage VIN is greater than the clamping voltage, it is determined that a surge voltage occurs, and at this time, the plurality of first zener diodes Z1 are turned on, and the second resistor R2 has a current flowing therethrough, so that the end of the second resistor R2 far from the ground outputs the first turn-on voltage V1. If the voltage across the first zener diode Z1 is the reverse breakdown voltage vbr when the first zener diode Z1 is turned on, and there are N first zener diodes Z1 in the voltage stabilizing circuit 404, the first turn-on voltage v1=vin-n×v BR. When the control voltage V2 is received by the control transistor M2 in the first detection unit 401, the second switching transistor M2 is closed when the control voltage V2 exceeds the control voltage threshold, and the first zener diode Z1 connected in parallel to the second switching transistor M2 is shorted. If there are N first zener diodes Z1 connected in parallel to the second switching tube M2, the value of the first on voltage V1 is v1=vin- (N-N) ×v BR, and therefore the clamp voltage is reduced to (N-N) ×v BR, and the first on voltage V1 increases.
Optionally, the first detection unit 401 may further include: and a second zener diode Z2. The positive pole of the second zener diode Z2 is connected with the control end of the second switching tube M2, and the negative pole of the second zener diode M2 is connected with the first end of the second switching tube M2. The second zener diode Z2 is used for protecting the second switching tube M2, and when the voltage between the first end and the second end of the second switching tube M2 exceeds the reverse breakdown voltage of the second zener diode Z2, the voltage between the two ends is clamped to the reverse breakdown voltage of the second zener diode M2, so that the second switching tube M2 is not damaged.
The surge bleeder unit 302 is configured to receive an input voltage and a first on voltage output by the first detection unit 301. The surge current leakage unit 302 performs current leakage on the input voltage according to the input voltage and the first on voltage, and outputs a current leakage.
Optionally, referring to fig. 4, in another embodiment of the present application, an implementation of a surge bleed unit 402 includes: and a third switching tube M3. The second end of the third switching tube M3 receives the input voltage VIN, the control end of the third switching tube M3 is used for receiving the first conducting voltage V1, and the first end of the third switching tube M3 is grounded. The third switching tube M3 is an NMOS tube, a first end of the third switching tube M3 is a source, a second end is a drain, and a control end is a gate.
The condition for closing the third switching tube M3 is as follows: when the gate-source voltage of the third switching tube M3, i.e. the first turn-on voltage V1 reaches the turn-on voltage of the third switching tube M3, the third switching tube M3 is turned on. The branch where the third switching tube M3 is located obtains the leakage current IIN. The magnitude of the current passing through the third switching tube M3, i.e. the magnitude of the drain current IIN, is related to the gate-source voltage of the third switching tube, i.e. the magnitude of the first turn-on voltage. The larger the first on voltage V1, the larger the drain current IIN flowing through the third switching tube M3.
The second detection unit 303 is configured to receive the input voltage and the first turn-on voltage output by the first detection unit 301. The second detecting unit 303 obtains a detecting current having a ratio relation with the bleeder current according to the input voltage and the first conducting voltage, and outputs a control voltage corresponding to the detecting current according to the detecting current.
Alternatively, referring to fig. 4, in another embodiment of the present application, the second detection unit 403 may include: a first resistor R1 and a first switching tube M1. One end of the first resistor R1 receives the input voltage VIN, and the other end of the first resistor R1 is connected to the second end of the first switching tube M1. The first end of the first switching tube M1 is grounded, and the control end of the first switching tube M1 receives the first turn-on voltage V1. After the first switching tube M1 is turned on under the action of the first turn-on voltage V1, the first resistor R1 and the connection branch of the first switching tube M1 obtain a detection current Isns, the detection current Isns flows through the first resistor R1, and one end of the first resistor R1 far from the input voltage VIN outputs the control voltage V2. The first switch tube M1 may be an NMOS tube, with a first end being a source, a second end being a drain, and a control end being a gate.
It should be noted that the detected current Isns has a ratio relationship with the drain current IIN. The ratio of the detected current Isns to the leakage current IIN is: ratio of the width-to-length ratio of the first switching tube M1 in the second detection unit 403 to the third switching tube M3 in the surge relief unit 402.
Alternatively, referring to fig. 4, the drain current IIN may be artificially set to a drain current preset value, and when the drain current IIN exceeds the drain current preset value, the control voltage V2 generated according to the detection current Isns exceeds the control voltage threshold. The detected current Isns has a ratio relation with the leakage current IIN. At this time, the second switching tube M2 in the first detecting unit 401 is turned on, the first zener diodes Z1 connected in parallel with the second switching tube M2 are all shorted, and the first turn-on voltage V1 increases. The ratio of the drain current IIN to the detection current Isns is the ratio of the width-to-length ratio of the first switching tube M1 in the second detection unit 403 to the third switching tube M3 in the surge drain unit 402.
When the control voltage V2 reaches the control voltage threshold, the gate-source voltage difference of the second switching transistor M2 in the first detecting unit 401 reaches the turn-on voltage vth—m2. When the ratio of the width-to-length ratio of the first switching tube M1 to the width-to-length ratio of the third switching tube M3 is 1: at k, the ratio of the detected current Isns to the drain current IIN is also 1: K. therefore, the leakage current preset value can be deduced as: therefore, it can be seen that the preset leakage current value is related to the ratio K and the resistance value of the first resistor R1, the preset leakage current value can be set by setting the ratio K and the resistance value of the first resistor R1, and when the leakage current IIN reaches the preset leakage current value, the control voltage V2 also reaches the control voltage threshold, that is, the gate-source of the second switching tube M2 reaches the on voltage V th-M2, the second switching tube M2 is turned on, the first on voltage V1 is increased, and the leakage current IIN is increased.
Alternatively, in another embodiment of the present application, the switching tube in the surge protection circuit may be a transistor, and may be other types of switching tubes with transistor functions.
In the surge protection circuit provided by the application, when the input voltage exceeds the clamping voltage, the first detection unit 301 generates the first conduction voltage, so that the surge bleeder unit 302 which receives the first conduction voltage performs bleeder on the input voltage and outputs bleeder current. When the second detecting unit 303 receives the first conducting voltage, it outputs a detecting current in a ratio relationship with the leakage current, and the second detecting unit 303 outputs a corresponding control voltage according to the detecting current. After the first detection unit 301 receives the control voltage, when the control voltage is higher than the control voltage threshold, the output first conduction voltage is increased, so that the current leakage capability of the surge current leakage unit 302 is enhanced, the clamping voltage is reduced, the maximum value of the input voltage is reduced, the maximum power born by the surge protection circuit is further reduced, the duration of the maximum input voltage is shortened, and the surge protection circuit is safer.
Referring to fig. 5, when the surge voltage is 100V, the maximum drain current IIN is 34.974a, and the input voltage VIN is 28.254V. According to the power calculation formula p=ui, the maximum transient power pmax= 34.974 × 28.254 = 988.16W. Comparing the maximum transient power 1143.17W in fig. 2, it can be seen that the surge protection circuit experiences a decrease in maximum transient power. The first turn-on voltage in fig. 2 is 3.4375V, and the first turn-on voltage in fig. 5 is 3.88V, which means that compared with the surge protection circuit in the prior art, the first turn-on voltage is increased, and the current leakage capability of the surge current leakage circuit is enhanced. In addition, comparing the maximum input voltage 38.296V in fig. 2 with the maximum input voltage 37.0532V in fig. 5, it can be seen that the maximum input voltage in fig. 5 is reduced by about 1.2V compared with the maximum input voltage in fig. 2, and the duration of the maximum input voltage in fig. 5 is also substantially shorter than that in fig. 2, so that the time for the whole circuit to withstand the voltage becomes lower and the time for the circuit to withstand the high voltage becomes shorter, and the circuit operation becomes safer and more reliable.
Referring to fig. 6, an embodiment of the present application discloses a circuit system, including: a working circuit 601 and a surge protection circuit 602.
The input port of the working circuit 601 is used for receiving the input voltage VIN, and the working circuit 601 is used for working when the input voltage is at a normal voltage value. The work performed by the work circuit 601 may be power supply of electric equipment, or may be running program, etc., and the work content of the work circuit 601 does not affect the implementation of the embodiment of the present application.
The surge protection circuit 602 receives an input voltage VIN for maintaining the input voltage VIN of the operation circuit 601 at a normal voltage value. The specific implementation process of the surge protection circuit 602 is consistent with the implementation principle and the surge protection circuit shown in the foregoing embodiment, which will not be described herein.
The embodiment of the application discloses electronic equipment, which comprises a surge protection circuit. The specific implementation process of the surge protection circuit is consistent with the implementation principle and the surge protection circuit shown in the foregoing embodiment, and will not be described herein.
In particular implementations, the electronic device may include, but is not limited to, a cell phone, tablet, other universal serial bus (Universal Serial Bus, USB) interface device, etc.
Those skilled in the art will be able to make or use the application. Various modifications to these embodiments will be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other embodiments without departing from the spirit or scope of the application. Thus, the present application is not intended to be limited to the embodiments shown herein but is to be accorded the widest scope consistent with the principles and novel features disclosed herein.
It is further noted that relational terms such as first and second, and the like are used solely to distinguish one entity or action from another entity or action without necessarily requiring or implying any actual such relationship or order between such entities or actions. Moreover, the terms "comprises," "comprising," or any other variation thereof, are intended to cover a non-exclusive inclusion, such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements but may include other elements not expressly listed or inherent to such process, method, article, or apparatus. Without further limitation, an element defined by the phrase "comprising one … …" does not exclude the presence of other like elements in a process, method, article, or apparatus that comprises the element.

Claims (6)

1. A surge protection circuit, comprising:
the surge leakage detection device comprises a first detection unit, a surge leakage unit and a second detection unit; the first detection unit is respectively connected with the surge bleeder unit and the second detection unit;
the first detection unit is used for receiving input voltage and control voltage, outputting a first conduction voltage when the input voltage exceeds a clamping voltage, and increasing the first conduction voltage when the control voltage exceeds a control voltage threshold;
the surge bleeder unit is used for receiving the input voltage and the first conduction voltage output by the first detection unit, bleeder the input voltage according to the input voltage and the first conduction voltage, and outputting bleeder current;
the second detection unit is used for receiving the input voltage and the first conduction voltage output by the first detection unit, obtaining detection current with a ratio relation with the bleeder current according to the input voltage and the first conduction voltage, and outputting the control voltage corresponding to the detection current according to the detection current;
wherein the second detection unit includes: the input voltage is received by one end of the first resistor, and the other end of the first resistor is connected with the second end of the first switch tube; the first end of the first switching tube is grounded, and the control end of the first switching tube receives the first conduction voltage; after the first switching tube is conducted under the action of the first conducting voltage, the first resistor and the connecting branch of the first switching tube obtain the detection current, and the control voltage is output;
the first detection unit includes: the voltage stabilizing circuit, the second resistor and the second switching tube; the input end of the voltage stabilizing circuit receives the input voltage, the output end of the voltage stabilizing circuit is grounded through the second resistor, the voltage stabilizing circuit comprises at least two first voltage stabilizing diodes which are connected in series and are used for generating the clamping voltage, and the first voltage stabilizing diodes in the voltage stabilizing circuit are reversely connected in the voltage stabilizing circuit; one end of the second resistor far away from the ground is used for outputting the first conduction voltage; the first end and the second end of the second switching tube are connected in parallel with at least one first zener diode in the voltage stabilizing circuit, and the control end of the second switching tube receives the control voltage.
2. The circuit of claim 1, wherein the first detection unit further comprises: the positive electrode of the second zener diode is connected with the control end of the second switching tube, and the negative electrode of the second zener diode is connected with the first end of the second switching tube.
3. The circuit of claim 1, wherein the surge bleed unit comprises:
the second end of the third switching tube receives the input voltage, the control end of the third switching tube is used for receiving the first conduction voltage, and the first end of the third switching tube is grounded.
4. A circuit according to any one of claims 1 to 3, wherein the switching tube comprises: and a transistor.
5. A circuit system, comprising:
the input port of the working circuit is used for receiving input voltage, and the working circuit is used for working when the input voltage is at a normal voltage value;
the surge protection circuit according to any one of claims 1 to 4, which receives the input voltage for maintaining the input voltage of the operating circuit at a normal voltage value.
6. An electronic device, comprising:
a surge protection circuit according to any one of claims 1 to 4.
CN201811382198.9A 2018-11-20 2018-11-20 Surge protection circuit, circuit system and electronic equipment Active CN109217278B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811382198.9A CN109217278B (en) 2018-11-20 2018-11-20 Surge protection circuit, circuit system and electronic equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201811382198.9A CN109217278B (en) 2018-11-20 2018-11-20 Surge protection circuit, circuit system and electronic equipment

Publications (2)

Publication Number Publication Date
CN109217278A CN109217278A (en) 2019-01-15
CN109217278B true CN109217278B (en) 2023-09-22

Family

ID=64993839

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811382198.9A Active CN109217278B (en) 2018-11-20 2018-11-20 Surge protection circuit, circuit system and electronic equipment

Country Status (1)

Country Link
CN (1) CN109217278B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115811034A (en) * 2022-11-29 2023-03-17 深圳市微源半导体股份有限公司 Novel two-stage surge protection circuit

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11234897A (en) * 1998-02-19 1999-08-27 Shindengen Electric Mfg Co Ltd Power supply with current limiting function
EP1783909A1 (en) * 2005-11-04 2007-05-09 Infineon Technologies AG Circuit arrangement with at least two semiconductor switches and a central overvoltage protection
CN101924356A (en) * 2010-05-13 2010-12-22 彩优微电子(昆山)有限公司 Improved ESD protective device, corresponding method and integrated circuit
CN104011551A (en) * 2011-12-19 2014-08-27 株式会社电装 Current detection circuit and semidoncutor integrated circuit device
CN106159924A (en) * 2015-04-02 2016-11-23 瑞昱半导体股份有限公司 Electric current mirror ESD (Electrostatic Discharge) clamp circuit and electric current mirror static discharge detector
CN106655137A (en) * 2016-12-23 2017-05-10 上海艾为电子技术股份有限公司 Surge protection circuit and electronic equipment
CN108512534A (en) * 2017-02-24 2018-09-07 瑞萨电子株式会社 Semiconductor devices and electronic control system with semiconductor devices
CN108832900A (en) * 2018-05-31 2018-11-16 北京集创北方科技股份有限公司 Operational amplification circuit and its over-current protection method
CN209250235U (en) * 2018-11-20 2019-08-13 上海艾为电子技术股份有限公司 Surge protection circuit, circuit system and electronic equipment

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI546541B (en) * 2015-04-29 2016-08-21 台達電子工業股份有限公司 High side current monitoring apparatus

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11234897A (en) * 1998-02-19 1999-08-27 Shindengen Electric Mfg Co Ltd Power supply with current limiting function
EP1783909A1 (en) * 2005-11-04 2007-05-09 Infineon Technologies AG Circuit arrangement with at least two semiconductor switches and a central overvoltage protection
CN101924356A (en) * 2010-05-13 2010-12-22 彩优微电子(昆山)有限公司 Improved ESD protective device, corresponding method and integrated circuit
CN104011551A (en) * 2011-12-19 2014-08-27 株式会社电装 Current detection circuit and semidoncutor integrated circuit device
CN106159924A (en) * 2015-04-02 2016-11-23 瑞昱半导体股份有限公司 Electric current mirror ESD (Electrostatic Discharge) clamp circuit and electric current mirror static discharge detector
CN106655137A (en) * 2016-12-23 2017-05-10 上海艾为电子技术股份有限公司 Surge protection circuit and electronic equipment
CN108512534A (en) * 2017-02-24 2018-09-07 瑞萨电子株式会社 Semiconductor devices and electronic control system with semiconductor devices
CN108832900A (en) * 2018-05-31 2018-11-16 北京集创北方科技股份有限公司 Operational amplification circuit and its over-current protection method
CN209250235U (en) * 2018-11-20 2019-08-13 上海艾为电子技术股份有限公司 Surge protection circuit, circuit system and electronic equipment

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
开关电路浪涌电流保护的研究;韩大旺;中国优秀硕士论文电子期刊网;全文 *

Also Published As

Publication number Publication date
CN109217278A (en) 2019-01-15

Similar Documents

Publication Publication Date Title
CN104078925B (en) Overvoltage protection device
WO2019169904A1 (en) Low-cost input anti-overvoltage protection circuit
US9722411B2 (en) Secondary power system and power supply device
CN104143818B (en) Prevent the device that current-limiting circuit is excessively adjusted
CN103036196B (en) Over-pressure safety device and method
CN207530518U (en) Over-pressed short-circuit protection circuit
CN209929959U (en) Power supply protection circuit
CN203787956U (en) Surge voltage suppression circuit
CN104218533A (en) Power input overvoltage turn-off protection circuit
CN215733481U (en) Optimized reverse connection prevention protection and impact current suppression circuit
CN203645292U (en) An overvoltage over-current protection circuit
CN109217278B (en) Surge protection circuit, circuit system and electronic equipment
CN107979281B (en) Input voltage division module and overvoltage protection switch
CN102629758B (en) Voltage comparator-based drop gate voltage circuit
CN109193606B (en) Surge protection circuit, circuit system and electronic equipment
CN209250235U (en) Surge protection circuit, circuit system and electronic equipment
CN110021923B (en) Surge protection circuit and electronic equipment
CN215185854U (en) Surge protection circuit
CN209250236U (en) Surge protection circuit, circuit system and electronic equipment
CN109301807B (en) Surge protection circuit, circuit system and electronic equipment
AU2015201523B2 (en) Residual current protection device
CN203218857U (en) Overvoltage protection circuit
CN207098589U (en) A kind of LED power protection circuit against input over-voltage and LED power
US10916939B2 (en) Low leakage transient overvoltage protection circuit using a series connected metal oxide varistor (MOV) and silicon controlled rectifier (SCR)
EP4210188A1 (en) Protection against ac voltage conditions

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant