CN108615702B - 具互连结构的半导体装置与其制作方法 - Google Patents

具互连结构的半导体装置与其制作方法 Download PDF

Info

Publication number
CN108615702B
CN108615702B CN201710623649.2A CN201710623649A CN108615702B CN 108615702 B CN108615702 B CN 108615702B CN 201710623649 A CN201710623649 A CN 201710623649A CN 108615702 B CN108615702 B CN 108615702B
Authority
CN
China
Prior art keywords
layer
forming
dielectric
conductive
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710623649.2A
Other languages
English (en)
Other versions
CN108615702A (zh
Inventor
杨岱宜
朱韦臻
刘相玮
眭晓林
苏莉玲
吴永旭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108615702A publication Critical patent/CN108615702A/zh
Application granted granted Critical
Publication of CN108615702B publication Critical patent/CN108615702B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种具互连结构的半导体装置的制作方法。光微影上覆误差为导致低晶圆产量的图案化缺陷的一来源。本文揭露一种使用具有自对准互连件的图案化光微影/蚀刻制程的互连件形成制程。此互连形成制程尤其改良光微影上覆(OVL)边际,因为对准是在较宽图案上完成。此外,此图案化光微影/蚀刻制程支持多金属间隙填充及具有空穴的低介电常数介电质形成。

Description

具互连结构的半导体装置与其制作方法
技术领域
本揭露是关于一种具互连结构的半导体装置与其制作方法。
背景技术
光微影对准不良可导致后段制程(Back End Of the Line;BEOL)金属化中的图案化缺陷。后段制程中的这些图案化缺陷可包括接线及垂直互连通路(通孔)不连续性,其可不利地影响产品可靠性及晶圆产量。
发明内容
在一些实施例中,半导体装置的制作方法包括提供基板、在基板上方形成的介电质堆叠。在介电质堆叠上形成第一金属层及第二金属层。第一金属层的材料及第二金属层的材料彼此不同。第一金属层的第一上表面与第二金属层的第二上表面实质上共平面。第一金属氧化物层在第一金属层上形成且第二金属氧化物层在第二金属层上形成。包括空穴的第一介电层在第一与第二金属层之间且在第一及第二金属氧化物层上方形成。第二介电层在第一介电层上方形成。
在一些实施例中,半导体装置的制作方法包括提供基板;在基板上方形成介电质堆叠;以及形成第一互连层及第二互连层。形成第一互连层及第二互连层包含:在介电质堆叠上方形成第一图案结构及第二图案结构,其中第一图案结构及第二图案结构个别包含第一间隔物、第二间隔物及在第一间隔物与第二间隔物之间的中心部分;在第一图案结构与第二图案结构之间沉积第一导电材料以及金属氧化物层以形成第一互连层,其中金属氧化物层的底表面高于第一图案结构的第一上表面且高于第二图案结构的第二上表面;在第二图案结构中,移除在第一间隔物与第二间隔物之间的中心部分;以及在第二图案结构的第一间隔物与第二间隔物之间沉积第二导电材料,以形成第二互连层。
在一些实施例中,半导体装置的制作方法包括提供基板;在基板上方形成至少一个具有接触金属层的晶体管;在接触金属层上形成互连层;形成第一图案结构与第二图案结构在互连层上;在第一图案结构与第二图案结构之间形成第一导电层;遮罩第二图案结构;在第一图案结构中形成通孔,且第二图案结构仍被遮罩;以及在第一图案结构的通孔中形成第二导电层。
在一些实施例中,半导体装置包含基板、设置在基板上方的介电质堆叠、设置在介电质堆叠上方的第一介电层、设置在第一介电层上方的第二介电层、嵌入在第一介电层中的第一导电结构,以及嵌入在第一介电层中的第二导电结构。第一导电结构形成具有第一导电材料的第一互连层及穿透介电质堆叠的第一部分。第二导电结构形成具有第二导电材料的第二互连层及穿透介电质堆叠的第二部分。第一介电层包含在第一与第二导电结构之间形成的空穴。
附图说明
当结合随附附图阅读时,自以下详细描述将最佳地理解本揭露的态样。应注意,根据工业中的标准实务,各特征并非按比例绘制。事实上,出于论述清晰的目的,可任意增加或减小各特征的尺寸。
图1至图11为本揭露的具互连结构的半导体装置的制作方法根据一些实施例在不同制作阶段的示例性横截面图;
图12为根据本揭露的具互连结构的半导体装置的制作方法根据一些实施例的流程图。
具体实施方式
以下揭露内容提供许多不同实施例或实例,以便实施所提供标的物的不同特征。下文描述组件及排列的特定实例以简化本揭露。当然,此等实例仅为实例且并不意欲为限制性。举例而言,以下描述中在第二特征上方形成第一特征可包括以直接接触形成第一及第二特征的实施例,且亦可包括可在第一与第二特征之间形成额外特征以使得第一与第二特征不直接接触的实施例。另外,本揭露可在各实例中重复元件符号及/或字母。此重复本身并不指示所论述的各实施例及/或配置之间的关系。
进一步地,为了便于描述,本文可使用空间相对性术语(诸如“在……之下”、“在……下方”、“下部”、“在……上方”、“上部”及类似者)来描述如附图中所说明的一个元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除了附图中所描绘的定向外,空间相对性术语意欲包含使用或步骤中的装置的不同定向。设备可经其他方式定向(旋转90度或处于其他定向)且因此可同样地解读本文所使用的空间相对性描述词。
如本文所用,术语“标称”是指在产品或制程的设计阶段期间设定的用于组件或制程步骤的特征或参数的所要值或目标值,以及一系列高于及/或低于所要值的值。值的范围通常是归因于制程或容差的轻微变化。
如本文所用,术语“垂直”是指标称地垂直于基板的表面。
晶片制程可分为三个“模组”,其中各模组可包括所有以下步骤或其中一些步骤:图案化(例如,光微影及蚀刻);植入;金属及介电质材料沉积;湿式或干式清洁;及平坦化(例如,回蚀制程或化学机械平坦化)。三个模组可分类为前段制程(front end of theline;FEOL)、中间制程(middle of the line;MOL)/中段制程(middle end of the line;MEOL)及后段制程(back end of the line;BEOL)。
在前段制程中,形成场效晶体管(field effect transistor;FET)。举例而言,前段制程包括形成源极/漏极区域、栅极堆叠及栅极堆叠侧面上的间隔物。源极/漏极区域可为在栅极堆叠形成之后由植入制程形成的掺杂基板区域。栅极堆叠包括金属栅电极,其可包括两个或更多个金属层。栅极介电质可包括高介电常数(高k)材料(例如,大于3.9,其为氧化硅的介电常数)。栅电极中的金属设定栅极的功函数,其中p型场效晶体管及n型场效晶体管的功函数可为不同。当场效晶体管在操作时,栅极介电质提供金属栅电极与通道之间的电绝缘,此通道形成于源极与漏极区域之间。
在中间制程中,形成低层(level)互连件(触点)且可包括在彼此顶部的两层触点。中间制程互连件可具有较小临界尺寸((critical dimension;CD);例如,线宽),且与其后段制程对应物相比彼此间隔较近。中间制程接触层的目的为将场效晶体管区域,亦即源极/漏极及金属栅电极与后段制程中的较高层互连件电连接。中间制程中的第一触点层(称为“沟槽硅化物(trench silicide;TS)”)在栅极堆叠的任一侧面上的源极及漏极区域上方形成。在沟槽硅化物配置中,硅化物是在沟槽中且在沟槽形成之后形成。硅化物降低源极及漏极区域与金属触点之间的电阻。栅极堆叠与第一触点层视为处于同一“层”。第二触点层在栅电极及沟槽硅化物上方形成。中间制程触点经嵌入在保证其电绝缘性质的介电质材料或材料的介电质堆叠中。
在后段制程中,层间介电质(interlayer dielectric;ILD)经沉积在中间制程触点上方。后段制程中的高层互连件的形成涉及图案化硬遮罩(hard mask;HM)层及随后经由硬遮罩层蚀刻以在层间介电质中形成孔及沟槽。层间介电质可为低介电常数材料。低介电常数材料可具有低于3.9的介电常数,其为二氧化硅(SiO2)的介电常数。后段制程中的低介电常数材料可降低不需要的寄生电容且最小化电阻-电容(resistance-capacitance;RC)延迟。后段制程互连件包括两种类型的导电线:垂直互连件通路线(通孔)及横向线(接线)。通孔在垂直方向上贯穿层间介电层且建立与层间介电层上方或下方的层的电连接。接线在层间介电层内横向布置以连接同一层间介电层内的多个组件。后段制程包括多个通孔层(例如,高达9个或更多个)及具有愈来愈大的临界尺寸(例如,线宽)及线间距的接线。要求各层均与前一层对准以确保适当的通孔及接线连续性。
可经由在光遮罩上的图案(标线)与晶圆表面上的现有特征之间进行对准来建立接线连续性。此品质量测称为“上覆(overlay;OVL)精确度”。对准为至关重要的,因为标线图案必须自一层至另一层精确地传递至晶圆。由于在图案化期间使用多个光微影步骤,因此任何上覆对准不良均为相加的且导致形成在晶圆表面上的不同特征之间的总置放容差。各光层(photo-layer)的置放容差称为“上覆预算”。取决于将产生的上覆对准不良及将在晶圆表面上传递的特征的大小/密度,各光层可具有不同的上覆预算。由于上覆对准不良会继续叠加,其对于各光层的上覆预算有负面的影像。
晶圆及标线位置资料是关于针对曝露工具所定义的坐标***量测且随后以总体或逐场方式使用以执行对准。总体对准,亦称为“粗对准”,可使用若干标示以快速关于标线使晶圆对准。逐场对准,亦称为“精细对准”,可用于将标线与各曝露位点对准。于化学机械平坦化(chemical mechanical planarization;CMP)步骤期间,精细对准可补偿在局部构形中观测到的非均匀性、沉积非均匀性或凹陷。
在后段制程中使用硬遮罩以形成互连件可具有若干局限性。举例而言,使用硬遮罩可限制光微影对准窗,因为存在于硬遮罩中的窄图案化特征减小对准不良误差的容差。对准窗的减小增加上覆误差的风险,其继而转化为较高概率的晶圆上的图案化缺陷。常见图案化缺陷包括通孔之间的金属桥接及变形的通孔或接线。自对准互连件可减轻光微影对准要求的压力且增大对准或上覆窗。此有助于晶片的线间距较小的高密度区域。
根据本揭露的各种实施例提供后段制程互连件制作方法,其使用图案化光微影/蚀刻制程,其具有导致用于上覆的较宽图案的自对准互连件。此有效地增加上覆边际且减小图案化缺陷数量。此外,由于不再需要硬遮罩层,制程得以简化。按照本揭露,互连件制作方法支持使用多金属间隙填充制程。多金属间隙填充制程中的金属可为导电材料,例如石墨烯。在一些实施例中,多金属间隙填充互连件是在层间介电层之前形成。在一些实施例中,层间介电层经沉积以使得其具有自然产生的空穴以减小层间介电层的介电常数。
图1至图11为本揭露的具互连结构的半导体装置的制作方式根据一些实施例在不同制作阶段的示例性横截面图。
图1为根据一些实施例的结构100的横截面图。在一些实施例中,结构100为基板(图1中未图示)的一部分,其包括至少一个后段制程互连网路层,其中形成通孔及接线。在一些实施例中,基板可为裸半导体晶圆或部分制造的半导体晶圆,其包括先前形成的层,如包含有形成至少一个具有一或多个接触金属层的晶体管。结构100包括图案结构105,其中各图案结构105包括第一间隔物120、第二间隔物122,及中心部分110。第一及第二间隔物120及122安置在中心部分110的相对侧面上。在一些实施例中,各中心部分110可由非晶硅、氮化硅或非晶碳制成。举例而言且并非限制,中心部分110的厚度可在10nm至约100nm范围内。在一些实施例中,间隔物120及122可由氧化钛、氮化钛、氧化硅或氮化硅制成。取决于设计,间隔物厚度可在5nm至50nm范围内。在一些实施例中,中心部分110及间隔物120、122充当蚀刻遮罩,其中两个图案结构105之间的宽度为距离125。
中心部分110及间隔物120、122安置在层间介电层130上方。举例而言且并非限制,层间介电层130具有在10nm与100nm之间的厚度。在一些实施例中,层间介电层130可为介电质的堆叠,诸如例如低介电常数介电质与另一介电质:(i)低介电常数介电质(例如,掺杂碳的氧化硅)与具有氮掺杂的碳化硅;(ii)低介电常数介电质与具有氧掺杂的碳化硅;(iii)具有氮化硅的低介电常数介电质;或(iv)具有氧化硅的低介电常数介电质。
层间介电层130安置在蚀刻终止层140上方。在一些实施例中,蚀刻终止层140具有在1nm与100nm之间的厚度。举例而言且并非限制,蚀刻终止层140是由碳化硅、氮化硅或氧化硅制成。结构100亦包括底层金属线150,另一层间介电层160,以及另一蚀刻终止层170。在一些实施例中,底层金属线150可为前一金属化层的一部分。进一步地,底层金属线150在层间介电层160及蚀刻终止层170上方,层间介电层160位于底层金属线150与蚀刻终止层170之间。
光微影步骤及一系列蚀刻步骤形成层间介电层130及蚀刻终止层140中的开口。举例而言,在图2中,光阻剂200的涂层在结构100上方经光曝露且经图案化以建立具有宽度220的通孔开口210。光阻剂200可用于曝露结构100的将形成通孔的区域并保护结构100的不应形成通孔的其他区域。如图2中所示,通孔及接线开口宽度可由距离125决定。因此,开口210的宽度220可比宽度125宽。在一些实施例中,开口210可与宽度230一样宽。此情况假定光微影制程中的上覆误差为零(不存在对准误差),因此宽度220不存在归因于光微影制程的变化。在一些实施例中,对准不良误差为非零的,因此开口210的宽度220可比距离125宽,但考量到光微影制程中的变化,宽度220不可比宽度230宽。因此,上覆误差导致对宽度220可接近宽度230的程度的限制,宽度230为无任何对准不良误差的开口210的最大宽度。在一些实施例中,上覆窗视为至少比距离125宽。
蚀刻制程经由通孔开口210移除层间介电层130及蚀刻终止层140的曝露区域,以形成在底层金属线150终止的开口。在一些实施例中,蚀刻制程具有对于层间介电层130及蚀刻终止层140的高选择性。在一些实施例中,蚀刻制程在预定量的时间之后自动终止。在预定量的时间之后终止的蚀刻制程称为“定时蚀刻”。“终点指向(end-pointed)”蚀刻制程为当侦测到正好在经蚀刻层下面的层时(例如当侦测到底层金属线150时)自动终止的制程。终点侦测为可能的,因为蚀刻终止层140及底层金属线150是由不同的材料制成。因此,就给定蚀刻化学物质而言其可具有不同的蚀刻速率。底层金属线150的侦测可例如经由改变蚀刻速率来进行,其可通过原位度量设备(例如光学发射显微镜)来侦测。由于光学发射显微镜可经整合至蚀刻腔室中,因此可即时监视蚀刻制程。在一些实施例中,蚀刻制程的制程第一部分可为定时的且制程第二部分为终点指向的。由于蚀刻制程需要蚀刻不同的材料(例如,层间介电层130及蚀刻终止层140),因此可能需要不同的蚀刻化学物质。示例性蚀刻化学物质可包括氢溴酸(HBr)、氦(He)、氧(O2)及氯(Cl2)的组合。除蚀刻化学物质之外,可调节其他蚀刻制程参数,例如例如流动速率、温度及压力。此等参数可用于控制蚀刻速率、蚀刻概况、均匀性等。在形成通孔开口210之后,移除(即,剥离)光阻剂200且使接线开口250曝露。在一些实施例中,通孔开口210的高度大于接线开口250的高度。在一些实施例中,当由导电材料填充时,通孔开口210及接线开口250在互连层中形成导电结构。
图3图示在通孔开口210及接线开口250经导电材料300填充之后的图2的结构。在一些实施例中,导电材料300为铜(Cu)、钴(Co)、铝(Al)、石墨烯或任何其他适当的导电材料。导电材料300是通过化学机械研磨(chemical mechanical polishing;CMP)研磨以自中心部分110及间隔物120、122的顶部移除多余的材料。
参考图4,当导电材料300经研磨后,用蚀刻终止覆盖层400覆盖导电材料300的顶表面。在一些实施例中,可选择性地在导电材料300上生长蚀刻终止覆盖层400。举例而言且并非限制,蚀刻终止覆盖层400可为金属氧化物层,例如铝基、钴基、钨(W)基、镍(Ni)基或锆(Zr)基氧化物。熟悉此项技术者将理解此等仅为示例且可使用其他适当的氧化物。举例而言且并非限制,可用化学气相沉积(chemical vapor deposition;CVD)、物理气相沉积(physical vapor deposition;PVD)或旋涂式制程后接金属氧化物图案化制程来沉积蚀刻终止覆盖层400。蚀刻终止覆盖层400的作用为保护导电材料300免受后续蚀刻制程损害。
在一些实施例中,可通过移除中心部分110以形成多个开口来形成额外的通孔及接线。与中心部分110(待移除)相关联的各别的间隔物120及122未经移除。移除中心部分110可能不为总体的,例如遍及整个结构100。举例而言,图案化光阻剂可用于保护结构100的不需要移除中心部分110的区域。在一些实施例中,干式蚀刻化学物质可用于移除中心部分110。举例而言且并非限制,干式蚀刻化学物质可为HBr、He、O2及Cl2的组合。在中心部分移除制程之后,抗蚀剂剥离步骤移除光阻剂。图5图示图4的结构在光阻剂500经施加及图案化,且中心部分110的至少一部分经移除以形成相对的第一间隔物120与第二间隔物122之间的开口510之后的横截面图。
为了开始互连件形成,光阻剂600经施加在结构100上,且随后经图案化,如图6中所示。在光阻剂图案化制程结束时,通孔开口610在光阻剂600中形成。一些开口,如开口510,是通过光阻剂600覆盖以使得其不经受蚀刻制程影响。在此制程期间,上覆窗保持较宽。举例而言,通孔开口610具有宽度630。在其中上覆或对准不良误差为零的一些实施例中,通孔开口610可与宽度620一样宽,其是出于至少两个原因:(i)因为通孔/接线开口宽度是通过相对间隔物120与122之间的距离640定义;及(ii)因为蚀刻终止覆盖层400保护导电材料300免受蚀刻化学物质损害,因此若宽度630较宽且包括导电材料300曝露的区域,则导电材料受保护而免受蚀刻损害。在一些实施例中,对准不良误差为非零的,因此通孔开口610的宽度630可比距离640更宽,但归因于光微影制程中的变化,其不可比宽度620宽。因此,上覆误差限制宽度630可接近宽度620的程度,宽度620为无任何对准不良误差的最大宽度。通孔开口610亦为如此。
参考图6,经由通孔开口610蚀刻层间介电层130及蚀刻终止层140的曝露区域,而结构100的经覆盖区域受保护而免受蚀刻制程损害。选择性制程移除层间介电层130及蚀刻终止层140的曝露区域以在层间介电层130及蚀刻终止层140中形成通孔开口。在一些实施例中,蚀刻制程可为定时的、终点指向的或两者的组合。举例而言,蚀刻制程的制程第一部分可为定时的且制程第二部分为终点指向的。举例而言且并非限制,用于移除层间介电层130的蚀刻化学物质可不同于用于蚀刻终止层140的蚀刻化学物质。在一些实施例中,蚀刻制程对于层间介电层130及蚀刻终止层140具有高选择性。示例性干式蚀刻化学物质为HBr、He、O2与Cl2的组合。当蚀刻制程完成后,剥离图案化光阻剂600且使所有开口(诸如开口510)曝露。在一些实施例中,开口510为接线开口,且通孔开口610的高度大于接线开口510的高度。在一些实施例中,当由导电材料填充时,通孔开口610及接线开口510在互连层中形成导电结构。
在图7中,导电材料700填充在前一步骤形成的通孔开口610及接线开口510中。在一些实施例中,导电材料700不同于导电材料300。在一些实施例中,导电材料700可为铝、钴、铜、石墨烯或具有适当电阻率的任何适当导电材料。
在图8中,用CMP制程将多余的导电材料700研磨降低到蚀刻终止覆盖层400的层。在一些实施例中,用金属蚀刻制程蚀刻多余的导电材料700。在一些实施例中,可用CMP与干式蚀刻的组合移除多余的导电材料700。在CMP与干式蚀刻的组合的情况下,可使导电材料700低于蚀刻终止覆盖层400。当导电材料700经研磨后,用蚀刻终止覆盖层800覆盖其顶表面。在一些实施例中,由不同导电材料300及700形成的接线或通孔为交替的设置,且其可分别覆盖有不同的选择性生长蚀刻的蚀刻终止覆盖层。在一些实施例中,类似于蚀刻终止覆盖层400的制作方式,蚀刻终止覆盖层800在导电材料700上选择性生长。举例而言且并非限制,蚀刻终止覆盖层800可为金属氧化物,诸如铝基、钴基、钨基、镍基或锆基氧化物。熟悉此项技术者将理解此等仅为实例且可使用其他适当的氧化物。举例而言且并非限制,可用CVD、PVD或旋涂式制程后接金属氧化物图案化制程来沉积蚀刻终止覆盖层800。
图9为图8的区块810的放大视图。选择性蚀刻移除剩余的第一间隔物120及第二间隔物122以及中心部分110以形成将由介电质衬垫层填充的开口。在一些实施例中,间隔物120及122可用干式蚀刻制程或湿式蚀刻制程移除。举例而言且并非限制,干式蚀刻化学物质可为氟基(CxHyFz)或氯基(Cl2、BxCly)化学物质。示例性湿式蚀刻化学物质可为盐酸、磷酸、硝酸及过氧化氢化学物质。图10图示在移除剩余的第一间隔物120及第二间隔物122以及中心部分110且形成介电质衬垫层1000之后的图9。介电质衬垫层1000覆盖蚀刻终止覆盖层800、400且部分地填充在形成的互连件之间的间隔(线间隔),其允许在互连件之间形成空穴1010。空穴1010可称为“气隙”。在一些实施例中,空穴1010包含气体。在一些实施例中,空穴1010可不含气体。空穴1010可具有将近1的介电常数,因此增加空穴1010的大小可进一步降低介电质衬垫层1000的介电常数。在一些实施例中,具有空穴1010存在的介电质衬垫层1000的介电常数可在2至6范围内。在一些实施例中,介电质衬垫层1000是使用化学气相沉积(CVD)或原子层沉积(atomic layer;ALD)制程沉积。沉积制程条件及导电材料700与300之间的线间隔可调节空穴1010的大小。举例而言,制程条件(诸如压力与气体比)可影响沉积薄膜的保形性且允许空穴1010形成。在一些实施例中,线间隔可在5nm至20nm的范围内。在此线间隔范围下,空穴1010自然地形成且可占据导电材料700与300之间的总体积的30%至70%。举例而言且并非限制,介电质衬垫层1000可为SiO2、SiN或SiC且其厚度可在10nm至100nm范围内。归因于存在于介电质衬垫层1000中的空穴1010,介电质衬垫层1000可能不具有经受来自后续处理的振动或机械/热应力的热机械刚性。在一些实施例中,可形成介电质帽于介电质衬垫层1000上以保护介电质衬垫层1000免于断裂及/或崩溃。
参考图11,介电质帽1100经旋涂或用CVD沉积在介电质衬垫层1000上方。在一些实施例中,介电质帽1100为低介电常数层,其向介电质衬垫层1000提供机械支持。在一些实施例中,介电质衬垫层1000的厚度可在10nm与100nm之间的范围内。在一些实施例中,介电质衬垫层1000的介电常数可在2至6范围内。
参考图12,图示了根据本揭露的多金属填充、具有介电质衬垫层及介电质帽的自对准互连件的示例性图案化制作方法1200的流程图。其他制造步骤可在方法1200的各种步骤之间执行,且仅出于简明性目的将其省略。多金属填充、具有介电质衬垫层及介电质帽的自对准金属线的图案化制程不限于示例性制作方法1200。
示例性制作方法1200自步骤1210开始,其中在基板上方形成多个图案结构,例如,如图1中所示。举例而言且并非限制,基板可为包括先前形成的层的部分制造的晶圆。各图案均包括中心部分110及对应的相对间隔物120与122对。示例性基板包括层间介电层130、蚀刻终止层140及底层金属线150。底层金属线150在层间介电层160及蚀刻终止层170上方,如图1中所示。其他层,如至少一个具有一或多个接触金属层的晶体管,可存在于蚀刻终止层170下方,但出于简明性目的未图示。在一些实施例中,中心部分110是由非晶硅制成。在一些实施例中,第一及第二间隔物120及122分别由氧化钛或氮化硅制成。在一些实施例中,中心部分110及间隔物120、122充当蚀刻遮罩以使得形成的通孔及接线与第一中心部分110的第二间隔物122及临近第二中心部分110的第一间隔物120自对准。举例而言且并非限制,层间介电层130具有在10nm与100nm之间的厚度。在一些实施例中,层间介电层130可为介电质的堆叠,诸如低介电常数介电质与另一介电质:(i)低介电常数介电质(例如,掺杂碳的氧化硅)与具有氮掺杂的碳化硅;(ii)低介电常数介电质与具有氧掺杂的碳化硅;(iii)具有氮化硅的低介电常数介电质;或(iv)具有氧化硅的低介电常数介电质。
示例性制作方法1200以步骤1215继续,其中开口在基板中形成且与图案结构自对准。参考图1,开口包括层间介电层130及蚀刻终止层140中的通孔开口。通孔开口在垂直方向上电连接两个层,且接线在实质上与基板表面平行的平面中的同一层中进行电连接。步骤1215涉及若干光微影及蚀刻步骤。参考图2,在结构100上方涂覆、光曝露及图案化光阻剂200以建立具有宽度220的开口210。后续蚀刻制程经由光阻剂中的开口210移除层间介电层130及蚀刻终止层140的曝露区域以形成在底层金属线150上终止的通孔开口。蚀刻制程可具有对于层间介电层130及蚀刻终止层140的高选择性。示例性蚀刻化学物质可包括HBr、He、O2及Cl2的组合。在一些实施例中,蚀刻制程在预定量的时间之后自动终止。在一些实施例中,蚀刻制程的制程第一部分可为定时的且制程第二部分为终点指向的。
在步骤1220中,第一导电材料安置在开口中以形成包括导电结构的互连层。导电材料自开口向上延伸至实质上填充第一结构的第二间隔物与临近第二结构的第一间隔物之间的区域,即填充第一开口。参考图3,导电材料300填充通孔开口210(在先前步骤1215中形成)及接线开口250。在一些实施例中,通孔开口210的高度大于接线开口250的高度。在一些实施例中,当由导电材料填充时,通孔开口210及接线开口250在互连层中形成导电结构。在一些实施例中,导电材料300为铜、钴、铝、石墨烯或任何其他适当的导电材料。随后用CMP制程将导电材料300研磨至与中心部分110及间隔物120与122齐平。
在CMP制程之后,在导电结构上选择性地生长金属氧化层。金属氧化物层为蚀刻终止覆盖层,例如图4中的蚀刻终止覆盖层400。举例而言且并非限制,蚀刻终止覆盖层400可为金属氧化物,诸如铝基、钴基、钨基、镍基或锆基氧化物。熟悉此项技术者将理解此等仅为实例且可使用其他适当的氧化物。举例而言且并非限制,可用CVD、PVD或旋涂式制程后接金属氧化物图案化制程来沉积蚀刻终止覆盖层400。蚀刻终止覆盖层400的作用的一为保护导电材料300免受后续蚀刻制程损害。
在步骤1225中,通过根据例如所制造的积体电路的互连件布局在预定位置自图案结构移除中心部分110的一部分或全部以形成额外的通孔开口及接线开口。光微影可用于定义结构100的将移除中心部分110的区域。选择性蚀刻制程移除中心部分110的一部分而不移除其对应的相对第一及第二间隔物120及122,因此形成开口(例如,图5中所示的开口510)。在一些实施例中,干式蚀刻化学物质可用于移除中心部分110。举例而言且并非限制,干式蚀刻化学物质可为HBr、He、O2及Cl2的组合。在移除中心部分110之后,剥离在光微影制程中使用的光阻剂500。
在步骤1230中,形成与图案结构的相对第一及第二间隔物(120及122)自对准的第二开口。此步骤涉及如结合步骤1215描述的类似的光微影及蚀刻制程。举例而言,参考图6,光阻剂600经施加在结构100上且随后经图案化。在光阻剂图案化制程末尾,通孔开口610在光阻剂600中形成。一些开口,如临近间隔物120之间的开口510,是通过光阻剂600覆盖以使得其不曝露于蚀刻制程。
在此制程期间,上覆窗保持较宽。举例而言,开口610具有宽度630。在一些实施例中,其中上覆或对准不良误差为零,开口610可与宽度620一样宽,其是出于至少两个原因:(i)因为通孔/接线开口宽度是通过相对间隔物120与122之间的距离640定义;及(ii)因为蚀刻终止覆盖层400保护导电材料300免受蚀刻化学物质损害,因此若宽度630较宽且包括导电材料300曝露的区域,则导电材料受保护而免受蚀刻损害。在一些实施例中,对准不良误差为非零的,因此开口610的宽度630可比距离640宽,但归因于光微影制程中的变化,宽度630不可比宽度620宽。因此,上覆误差限制宽度630可接近宽度620的程度,宽度620为无任何对准不良误差的最大宽度。开口610亦为如此。
选择性制程经由光阻剂开口610移除层间介电层130及蚀刻终止层140的曝露区域以形成在底层金属线150终止的通孔开口。光阻剂随后经剥离。举例而言且并非限制,用于移除层间介电层130的化学物质可不同于用于蚀刻终止层140的蚀刻化学物质。在一些实施例中,蚀刻制程对于层间介电层130及蚀刻终止层140具有高选择性。示例性蚀刻化学物质可包括HBr、He、O2及Cl2的组合。在一些实施例中,蚀刻制程为定时的、终点指向的或两者的组合。举例而言,蚀刻制程在制程开始时为定时的且在接近制程结束时为终点指向的。
在步骤1235中,另一导电材料填充第二开口以形成包括导电结构的额外互连层。在图7中,导电材料700填充通孔开口610及接线开口510。在一些实施例中,开口510为接线开口,且通孔开口610的高度大于接线开口510的高度。在一些实施例中,当由导电材料填充时,通孔及接线开口610及510在互连层中形成导电结构。在一些实施例中,导电材料700不同于导电材料300。在一些实施例中,导电材料700为铝、钴、铜、石墨烯或具有适当电阻率的任何适当导电材料。在图8中,用CMP将导电材料700研磨到蚀刻终止覆盖层400的层。在一些实施例中,用金属蚀刻制程蚀刻多余的导电材料700。在一些实施例中,可用CMP与干式蚀刻的组合移除多余的导电材料700。在CMP及干式蚀刻的情况下,可使导电材料的表面低于蚀刻终止覆盖层400。当导电材料经研磨或蚀刻后,其顶表面由蚀刻终止覆盖层800覆盖。在一些实施例中,具有不同导电材料300及700的接线或通孔为交替的。导电材料300及700为交替的可具有不同的选择性生长蚀刻终止覆盖层。在一些实施例中,如同蚀刻终止覆盖层400的制作方式,蚀刻终止覆盖层800在导电材料700上选择性地生长。举例而言且并非限制,蚀刻终止覆盖层800可为金属氧化物,例如铝基、钴基、钨基、镍基或锆基氧化物。熟悉此项技术者将理解此等仅为实例且可使用其他适当的氧化物。举例而言且并非限制,可用CVD、PVD或旋涂式制程后接金属氧化物图案化制程来沉积蚀刻终止覆盖层800。
在步骤1240中,第三开口是通过移除相对的第一及第二间隔物120、122及剩余的中心部分110形成,此些开口将由介电质衬垫层填充。在一些实施例中,间隔物120、122可用干式蚀刻制程或湿式蚀刻制程移除。举例而言且并非限制,干式蚀刻化学物质可为氟基(CxHyFz)或氯基(Cl2、BxCly)化学物质。示例性湿式蚀刻化学物质可为盐酸、磷酸、硝酸及过氧化氢化学物质。
在步骤1245中,第三开口是由介电质衬垫层填充,其中空穴正在导电结构之间形成。举例而言,参考图10,介电质衬垫层1000覆盖蚀刻终止覆盖层800、400且部分填充形成的互连件(导电材料300及700)之间的间隔,其允许在互连件之间形成空穴1010。空穴1010可称为“气隙”。在一些实施例中,空穴1010包含气体。在一些实施例中,空穴1010可不含气体。空穴1010可具有将近1的介电常数,因此增加空穴1010的大小可进一步降低介电质衬垫层1000的介电常数。在一些实施例中,具有空穴1010存在的介电质衬垫层1000的介电常数可在2至6范围内。在一些实施例中,介电质衬垫层1000是使用CVD或ALD制程沉积。沉积制程条件及互连件之间的可用空间的大小可调节空穴1010的大小。制程条件(诸如压力与气体比)可影响沉积介电质衬垫层1000的保形性且允许空穴1010形成。在一些实施例中,线间隔可在5nm至20nm范围内。在此线间隔范围下,空穴1010自然地形成且可占据导电材料700与300之间的总体积的30%至70%之间的任何比率。介电质衬垫层1000可为SiO2、SiN或SiC且其厚度可在10nm至100nm范围内。归因于存在于介电质衬垫层1000中的空穴1010,此层不具有经受来自后续处理的振动或机械/热应力的热机械刚性。在一些实施例中,使用介电质帽以保护介电质衬垫层1000免于断裂及/或崩溃。
在步骤1250中,介电质帽在衬垫介电层上方形成。参考图11,介电质帽1100经旋涂或用CVD沉积在介电质衬垫层1000上方。在一些实施例中,介电质帽1100为低介电常数层,其向介电质衬垫层1000提供机械支撑。在一些实施例中,介电质衬垫层1000的厚度在10nm与100nm之间,介电常数在2与6之间。
本揭露的一实施方式提供一种互连件形成制程,其使用具有自对准互连件的图案化光微影/蚀刻制程,以改良光微影上覆边际,因为对准是在较宽图案上完成。较宽上覆窗减小与图案化相关联的晶圆缺陷度,例如金属桥及变形的互连件。图案化缺陷为可靠的关注点,其不利地影响晶圆产量。此外,具有自对准互连件的图案化光微影/蚀刻制程支持使用多金属间隙填充制程,其中互连件可由不同类型的导电材料填充。多金属间隙填充制程利用选择性金属氧化物,其在填充制程之后生长以保护沉积金属免受后续蚀刻制程伤害。在一些实施例中,介电质衬垫层是在互连件之间形成。衬垫介电层包括在形成的互连件之间的空间中的空穴,或“气隙”。空穴或“气隙”进一步降低衬垫介电层的介电常数。在介电质衬垫层上方形成介电质帽层以保护介电质衬垫层免于断裂及/或崩溃。
本揭露的一实施方式提供了一种具互连结构的半导体装置的制作方法,包含提供一基板,在基板上方形成一介电质堆叠,在介电质堆叠上形成由一第一导电材料制成的一第一互连层及由一第二导电材料制成的一第二互连层,其中第一及第二导电材料彼此不同。接着,在第一互连层上形成一第一金属氧化物层及在第二互连层上形成一第二金属氧化物层,并在第一及第二互连层之间及在第一及第二金属氧化物层上方形成一第一介电层,其中第一介电层包含一空穴,最后,在第一介电层上方形成一第二介电层。
于一或多个实施例中,方法更包含在基板上方形成至少一个具有一或多个接触金属层的晶体管,在接触金属层上方形成另一互连层,以及在该另一互连层上方形成介电质堆叠。
于一或多个实施例中,第一及第二互连层包含接线。
于一或多个实施例中,其中形成第一及第二互连层包含在介电质堆叠上方形成一第一图案结构及一第二图案结构,其中第一及第二图案结构中的每一者包含一第一间隔物、一第二间隔物及在第一与第二间隔物之间的一中心部分。接着在第一与第二图案结构之间沉积第一导电材料。
于一或多个实施例中,方法更包含移除在第一与第二间隔物之间的第二图案结构的中心部分,以及在第二图案结构的第一与第二间隔物之间沉积第二导电材料。
于一或多个实施例中,第一及第二导电材料包含铜、钴、铝或石墨烯。
于一或多个实施例中,金属氧化物材料层包含铝基氧化物或钴基氧化物。
本揭露的一实施方式提供一种具互连结构的半导体装置的制作方法,包含提供一基板,在基板上方形成一介电质堆叠,形成一第一互连层及一第二互连层,其中第一及第二互连层中的至少一者经安置在介电质堆叠中。接着在第一与第二互连层之间形成一开口,在开口中安置一第一介电层,其中第一介电层包含一空穴,以及在第一介电层上方形成一第二介电层。
于一或多个实施例中,方法更包含在基板上方形成至少一个具有一或多个接触金属层的晶体管,在接触金属层上方形成另一互连层,以及在该另一互连层上方形成介电质堆叠。
于一或多个实施例中,形成第一及第二导电结构包含形成通孔。
于一或多个实施例中,形成第一及第二互连层包含在介电质堆叠上方形成一第一图案结构及一第二图案结构,其中第一及第二图案结构中的每一者包含一第一间隔物、一第二间隔物及在第一与第二间隔物之间的一中心部分,在介电质堆叠中形成一第一开口,其中第一开口与第一与第二图案结构之间的一间隔自对准。接着在第一开口中沉积一第一导电材料以形成第一导电结构,以及在第一导电结构上形成一第一金属氧化物层。
于一或多个实施例中,方法更包含移除在第一与第二间隔物之间的第二图案结构的中心部分,在介电质堆叠中形成一第二开口,其中第二开口与第二图案结构的第一及第二间隔物自对准,在第二开口中沉积一第二导电材料以形成第二导电结构,其中第二导电材料不同于第一导电材料,以及在第二导电结构上形成一第二金属氧化物层,其中第二金属氧化物层不同于第一导电结构上的第一金属氧化物层。
于一或多个实施例中,第一及第二导电材料包含铜、钴、铝或石墨烯。
于一或多个实施例中,第一及第二金属氧化物层包含铝基氧化物或钴基氧化物。
本揭露的又一实施方式提供了一种具互连结构的半导体装置,包含一基板、设置在基板上方的一介电质堆叠、设置在介电质堆叠上方的一第一介电层、设置在第一介电层上方的一第二介电层、嵌入在第一介电层中的一第一导电结构,以及嵌入在第一介电层中的一第二导电结构。第一导电结构形成具有一第一导电材料的一第一互连层及穿透该介电质堆叠的一第一部分。第二导电结构形成具有一第二导电材料的一第二互连层及穿透介电质堆叠的一第二部分。第一介电层包含在第一与第二导电结构之间形成的一空穴。
于一或多个实施例中,半导体装置更包含设置在基板上方的至少一个具有一或多个接触金属层的晶体管,以及设置在接触金属层上方的另一互连层,其中介电质堆叠是在该另一互连层上方。
于一或多个实施例中,第一互连层的第一部分与该二互连层的第二部分中的至少一者包含一通孔。
于一或多个实施例中,第一导电材料不同于第二导电材料。
于一或多个实施例中,第一及第二互连层的第一及第二导电材料包含铜、钴、铝或石墨烯。
于一或多个实施例中,第一互连层的第一导电结构包含在第一导电材料上方的一第一金属氧化物层,且第二互连层的第二导电结构包含在第二导电材料上方的第二金属氧化物层。
应理解,实施方式部分而非本揭露的摘要部分是意欲用于解释申请专利范围。本揭露的摘要部分可阐明由发明者所预期的本揭露的一或多个而非所有可能的实施例,且因此不意欲以任何方式限制附加的申请专利范围。
前述揭露内容概述若干实施例的特征以使得熟悉此项技术者可较佳理解本揭露的态样。熟悉此项技术者将理解,其可容易地使用本揭露作为设计或修改用于执行本文所介绍的实施例的相同目的及/或达成同样优点的其他制程及结构的基础。熟悉此项技术者将亦意识到此等等效构造不偏离本揭露的精神及范畴,且熟悉此项技术者可在不偏离本揭露的精神及范畴的情况下于本文中进行各种变化、替换及变更。

Claims (26)

1.一种半导体装置的制作方法,其特征在于,包含:
提供一基板;
在该基板上方形成一介电质堆叠;
在该介电质堆叠上形成一第一金属层及一第二金属层,其中:
该第一金属层的材料及该第二金属层的材料彼此不同;以及
该第一金属层的一第一上表面与该第二金属层的一第二上表面实质上共平面;
在该第一金属层上形成一第一金属氧化物层及在该第二金属层上形成一第二金属氧化物层;
在该第一金属层及该第二金属层之间及在该第一金属氧化物层及该第二金属氧化物层上方形成一第一介电层,其中该第一介电层包含一空穴;以及
在该第一介电层上方形成一第二介电层。
2.如权利要求1所述的方法,还包含:
在该基板上方形成至少一个具有一或多个接触金属层的晶体管;
在该一或多个接触金属层上方形成一互连层,以及
在该互连层上方形成该介电质堆叠。
3.如权利要求1所述的方法,其中形成该第一金属层及该第二金属层包含:
在该介电质堆叠上方形成一第一图案结构及一第二图案结构,其中该第一图案结构及该第二图案结构个别包含一第一间隔物、一第二间隔物及在该第一间隔物与该第二间隔物之间的一中心部分;
在该第一图案结构与该第二图案结构之间沉积一第一导电材料;以及
移除部分的该第一导电材料以形成该第一金属层,其中该第一金属层的该第一上表面与该第一图案结构与该第二图案结构的多个第三上表面实质上共平面。
4.如权利要求3所述的方法,还包含:
在该第二图案结构中,移除在该第一间隔物与该第二间隔物之间的该中心部分;
在该第二图案结构的该第一间隔物与该第二间隔物之间沉积一第二导电材料;以及
移除部分的该第二导电材料以形成该第二金属层,其中该第二金属层的该第二上表面与该第一图案结构与该第二图案结构中的该第一间隔物与该第二间隔物的多个第四上表面实质上共平面。
5.如权利要求1所述的方法,其中该第一金属层及该第二金属层的材料包含铜、钴、铝或石墨烯。
6.如权利要求1所述的方法,其中形成该第一金属氧化物层及该第二金属氧化物层包含选择性地生长铝基氧化物、钨基氧化物、镍基氧化物、锆基氧化物、或钴基氧化物在该第一金属层及该第二金属层上。
7.如权利要求1所述的方法,其中在该第一金属层上形成该第一金属氧化物层及在该第二金属层上形成该第二金属氧化物层包含:
在该第一金属层与该第二金属层之间形成一图案结构;
生长一第一氧化物层在该第一金属层的该第一上表面,而该图案结构的一第三上表面被暴露;以及
生长一第二氧化物层在该第二金属层的该第二上表面,此时该第一金属层的该第一上表面被该第一氧化物层覆盖,且该图案结构的该第三上表面被暴露。
8.一种半导体装置的制作方法,其特征在于,包含:
提供一基板;
在该基板上方形成一介电质堆叠;
形成一第一互连层及一第二互连层,包含:
在该介电质堆叠上方形成一第一图案结构及一第二图案结构,其中该第一图案结构及该第二图案结构个别包含一第一间隔物、一第二间隔物及在该第一间隔物与该第二间隔物之间的一中心部分;
在该第一图案结构与该第二图案结构之间沉积一第一导电材料以及一第一金属氧化物层以形成该第一互连层,其中该第一金属氧化物层的一底表面高于该第一图案结构的一第一上表面且高于该第二图案结构的一第二上表面;
在该第二图案结构中,移除在该第一间隔物与该第二间隔物之间的该中心部分;以及
在该第二图案结构的该第一间隔物与该第二间隔物之间沉积一第二导电材料以及第二金属氧化物层,以形成该第二互连层;
在该第一互连层及该第二互连层之间及在该第一金属氧化物层及该第二金属氧化物层上方形成一第一介电层,其中该第一介电层包含一空穴;以及
在该第一介电层上方形成一第二介电层。
9.如权利要求8所述的方法,还包含:
在该基板上方形成至少一个具有一或多个接触金属层的晶体管;
在该一或多个接触金属层上形成一另一互连层;以及
在该另一互连层上形成该介电质堆叠。
10.如权利要求8所述的方法,其中沉积该第一导电材料以及该第一金属氧化物层包含:
在该介电质堆叠中形成一通孔,其中该通孔自对准该第一图案结构与该第二图案结构;
沉积该第一导电材料于该通孔中;
移除一部分的该第一导电材料以形成一第一导电结构,其中该第一导电结构的一第三上表面高于该第一图案结构的该第一上表面且高于该第二图案结构的该第二上表面;以及
选择性地生长该第一金属氧化物层在该第一导电结构的该第三上表面上。
11.如权利要求8所述的方法,其中沉积该第二导电材料包含:
在该介电质堆叠中形成一通孔,其中该通孔自对准该第二图案结构的该第一间隔物与该第二间隔物;
沉积该第二导电材料于该通孔中;
移除一部分的该第二导电材料以形成一第二导电结构,其中该第二导电结构的一第三上表面实质上与该第二图案结构的该第一间隔物与该第二间隔物的多个第四上表面共平面;以及
选择性地生长该第二金属氧化物层在该第二导电结构的该第三上表面上。
12.如权利要求8所述的方法,还包含:
在该第一互连层与该第二互连层之间形成一通孔;以及
形成该第一介电层在该通孔中。
13.如权利要求8所述的方法,其中沉积该第二导电材料包含沉积该第二导电材料于该第一图案结构的该中心部分上。
14.如权利要求8所述的方法,其中移除该第二图案结构的该中心部分包含使用一遮罩层遮罩该第一图案结构的该中心部分。
15.一种半导体装置的制作方法,其特征在于,包含:
提供一基板;
在该基板上方形成至少一个具有一或多个接触金属层的晶体管;
在该一或多个接触金属层上形成一互连层;
形成多个第一图案结构与多个第二图案结构在该互连层上;
在各该第一图案结构与各该第二图案结构之间形成一第一导电层;
遮罩该些第二图案结构;
在各该第一图案结构中形成一通孔,且该些第二图案结构仍被遮罩;
在各该第一图案结构的该通孔中形成一第二导电层;
在该第一导电层及该第二导电层之间和上方形成一第一介电层,其中该第一介电层包含一空穴;以及
在该第一介电层、该第一导电层及该第二导电层上方形成一第二介电层。
16.如权利要求15所述的方法,其中形成该些第一图案结构与该些第二图案结构在该互连层上包含:
在该互连层上形成一介电质堆叠;
形成多个硬遮罩结构在该介电质堆叠上;以及
形成多个间隔物邻接各该硬遮罩结构。
17.如权利要求15所述的方法,其中在各该第一图案结构中形成该通孔包含:
形成多个间隔物邻接各该第一图案结构与各该第二图案结构;
暴露该些第一图案结构而遮罩该些第二图案结构;
从邻接该些第一图案结构的该些间隔物中,选择性地移除该些第一图案结构。
18.如权利要求15所述的方法,其中形成该第一导电层与该第二导电层包含:
沉积一第一导电材料于各该第一图案结构与各该第二图案结构之间以及沉积一第二导电材料于各该第一图案结构的该通孔中;以及
移除一部分的该第二导电材料至与该第一导电材料齐平。
19.如权利要求15所述的方法,还包含:
透过化学气相沉积、物理气相沉积或旋涂式制程,在该第一导电层上形成一第一金属氧化物层以及在该第二导电层上形成一第二金属氧化物层。
20.如权利要求15所述的方法,还包含:
移除该些第二图案结构。
21.一种半导体装置,其特征在于,包含:
一基板;
一介电质堆叠,设置在该基板上;
一第一介电层,设置在该介电质堆叠上;
一第二介电层,设置在该第一介电层上;
一第一导电结构,嵌入该第一介电层中,其中该第一导电结构形成具有一第一导电材料的一第一互连层及穿透该介电质堆叠的一第一部分;以及
一第二导电结构,嵌入该第一介电层中,其中该第二导电结构形成具有一第二导电材料的一第二互连层及穿透该介电质堆叠的一第二部分,该第一介电层包含在该第一导电结构与该第二导电结构之间形成的一空穴,其中该第一介电层覆盖于该第一导电结构与该第二导电结构上。
22.如权利要求21所述的半导体装置,还包含:
设置在该基板上方的至少一个具有一或多个接触互连层的晶体管;以及
设置在该一或多个接触互连层上方的一另一互连层,其中该介电质堆叠系在该另一互连层上方。
23.如权利要求21所述的半导体装置,其中该第一互连层的该第一部分与该第二互连层的该第二部分中的至少一者包含一通孔。
24.如权利要求21所述的半导体装置,其中该第一导电材料不同于该第二导电材料。
25.如权利要求21所述的半导体装置,其中该第一互连层的该第一导电材料及该第二互连层的该第二导电材料包含铜、钴、铝或石墨烯。
26.如权利要求21所述的半导体装置,其中该第一导电结构包含在该第一导电材料上方的一第一金属氧化物层,且该第二导电结构包含在该第二导电材料上方的一第二金属氧化物层。
CN201710623649.2A 2016-12-13 2017-07-27 具互连结构的半导体装置与其制作方法 Active CN108615702B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662433612P 2016-12-13 2016-12-13
US62/433,612 2016-12-13
US15/586,881 2017-05-04
US15/586,881 US10534273B2 (en) 2016-12-13 2017-05-04 Multi-metal fill with self-aligned patterning and dielectric with voids

Publications (2)

Publication Number Publication Date
CN108615702A CN108615702A (zh) 2018-10-02
CN108615702B true CN108615702B (zh) 2021-12-10

Family

ID=62489906

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710623649.2A Active CN108615702B (zh) 2016-12-13 2017-07-27 具互连结构的半导体装置与其制作方法

Country Status (3)

Country Link
US (4) US10534273B2 (zh)
CN (1) CN108615702B (zh)
TW (1) TWI718323B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10534273B2 (en) 2016-12-13 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-aligned patterning and dielectric with voids
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US10580924B2 (en) * 2018-04-04 2020-03-03 The Florida International University Board Of Trustees Graphene devices for terahertz detection and emission
US10903110B2 (en) * 2018-12-06 2021-01-26 Nanya Technology Corporation Method of forming fine interconnection for a semiconductor device
US11557509B1 (en) * 2018-12-21 2023-01-17 Applied Materials, Inc. Self-alignment etching of interconnect layers
US10707119B1 (en) * 2019-01-14 2020-07-07 Globalfoundries Inc. Interconnect structures with airgaps and dielectric-capped interconnects
US11037821B2 (en) * 2019-05-01 2021-06-15 Globalfoundries U.S. Inc. Multiple patterning with self-alignment provided by spacers
US11355442B2 (en) 2019-05-10 2022-06-07 International Business Machines Corporation Forming self-aligned multi-metal interconnects
US11056443B2 (en) * 2019-08-29 2021-07-06 Micron Technology, Inc. Apparatuses exhibiting enhanced stress resistance and planarity, and related methods
US11495532B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques to inhibit delamination from flowable gap-fill dielectric
US11450602B2 (en) * 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11682616B2 (en) * 2020-08-31 2023-06-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
KR20220053879A (ko) 2020-10-23 2022-05-02 삼성전자주식회사 반도체 장치
CN113013092B (zh) * 2021-02-23 2023-04-07 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构
US20230062825A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11837499B2 (en) * 2021-10-01 2023-12-05 Nanya Technology Corporation Method for preparing fine metal lines with high aspect ratio

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1917168A (zh) * 2005-08-18 2007-02-21 联华电子股份有限公司 内连线的制造方法
CN105493244A (zh) * 2013-09-27 2016-04-13 英特尔公司 通过双图案化和填充技术来形成不同金属材料的平行导线的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004112138A1 (ja) * 2003-06-16 2004-12-23 Nec Corporation 半導体デバイスおよびその製造方法
DE102008059499A1 (de) * 2008-11-28 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit Luftspalten, die zusammen mit Kontaktdurchführungen hergestellt sind
JP2010258215A (ja) * 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
KR20120053799A (ko) * 2010-11-18 2012-05-29 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US8450212B2 (en) * 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
JP5925611B2 (ja) * 2012-06-21 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9034756B2 (en) * 2012-07-26 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit interconnects and methods of making same
FR3008572B1 (fr) 2013-07-15 2015-09-04 Dassault Aviat Systeme de gestion d'un environnement cabine dans une plateforme, et procede de gestion associee
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
KR102247918B1 (ko) * 2014-04-07 2021-05-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9431294B2 (en) * 2014-10-28 2016-08-30 GlobalFoundries, Inc. Methods of producing integrated circuits with an air gap
KR102304792B1 (ko) * 2014-12-22 2021-09-27 인텔 코포레이션 교대하는 하드마스크 및 캡슐화 에칭정지 라이너 방식을 이용하여 가이드된 비아들을 갖는 조밀 피치형 도전성 층들에 접촉하는 방법 및 구조물
US10553532B2 (en) 2014-12-24 2020-02-04 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
US9502350B1 (en) * 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer
US10534273B2 (en) 2016-12-13 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-aligned patterning and dielectric with voids

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1917168A (zh) * 2005-08-18 2007-02-21 联华电子股份有限公司 内连线的制造方法
CN105493244A (zh) * 2013-09-27 2016-04-13 英特尔公司 通过双图案化和填充技术来形成不同金属材料的平行导线的方法

Also Published As

Publication number Publication date
CN108615702A (zh) 2018-10-02
US11860550B2 (en) 2024-01-02
US20240085803A1 (en) 2024-03-14
US20200124985A1 (en) 2020-04-23
US20220350262A1 (en) 2022-11-03
TWI718323B (zh) 2021-02-11
TW201830533A (zh) 2018-08-16
US20180164698A1 (en) 2018-06-14
US10534273B2 (en) 2020-01-14
US11422475B2 (en) 2022-08-23

Similar Documents

Publication Publication Date Title
CN108615702B (zh) 具互连结构的半导体装置与其制作方法
US11569124B2 (en) Interconnect structure having an etch stop layer over conductive lines
US9997404B2 (en) Method of forming an interconnect structure for a semiconductor device
TWI605518B (zh) 積體電路結構及其製造方法
US10043754B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
US20180337113A1 (en) Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
US20180076033A1 (en) Multi-angled deposition and masking for custom spacer trim and selected spacer removal
US9929094B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
US8907497B2 (en) Semiconductor device with self-aligned interconnects and blocking portions
CN111129148A (zh) 半导体装置的形成方法
US11929258B2 (en) Via connection to a partially filled trench
US11011636B2 (en) Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US20180211870A1 (en) Interconnect structure and method of forming the same
US20230118565A1 (en) Hybrid method for forming semiconductor interconnect structure
US20230011792A1 (en) Self-Aligned Interconnect Structure And Method Of Forming The Same
CN112750773B (zh) 生产接触晶体管的栅极和源极/漏极通孔连接的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant