CN108140573B - 用于原子层次分辨率与等离子体处理控制的方法 - Google Patents

用于原子层次分辨率与等离子体处理控制的方法 Download PDF

Info

Publication number
CN108140573B
CN108140573B CN201680055207.6A CN201680055207A CN108140573B CN 108140573 B CN108140573 B CN 108140573B CN 201680055207 A CN201680055207 A CN 201680055207A CN 108140573 B CN108140573 B CN 108140573B
Authority
CN
China
Prior art keywords
electrode
waveform
substrate
plasma
ion energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680055207.6A
Other languages
English (en)
Other versions
CN108140573A (zh
Inventor
A·阿加沃尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108140573A publication Critical patent/CN108140573A/zh
Application granted granted Critical
Publication of CN108140573B publication Critical patent/CN108140573B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

兹提供用于处理基板的方法和设备。在一些实施例中,处理基板的方法包括:(a)在处理容积内的第一电极与第二电极之间提供处理气体,处理气体包含聚合物形成气体和蚀刻气体,其中第一电极在第二电极对面;(b)从第一RF电源施加第一电压波形至第二电极,以由处理气体形成等离子体,其中等离子体具有第一离子能量以直接在基板的介电层顶上沉积聚合物层;及(c)将第一电压波形调整成第二电压波形,以使等离子体的离子能量从第一离子能量提高至第二离子能量,其中等离子体在第二离子能量下停止沉积聚合物层,并开始蚀刻聚合物层和介电层。

Description

用于原子层次分辨率与等离子体处理控制的方法
技术领域
本公开的实施例大体涉及处理基板的方法。
背景技术
在等离子体处理基板期间,用于连续按比例缩小特征结构的一种工艺为原子层蚀刻。传统上,原子层蚀刻是利用处理方案执行的,处理方案依靠切换气体混合物来达成用于钝化(官能化、吸附、沉积)的合适的等离子体化学组成和用于后续蚀刻工艺的合适的等离子体化学组成。
通常,基板首先暴露于最低限度蚀刻等离子体,以钝化上层。在钝化工艺期间,等离子体的离子能量应小于用于蚀刻钝化顶层的阈值。接着,使钝化层暴露于蚀刻等离子体,以移除钝化顶层。在蚀刻工艺期间,等离子体的离子能量应小于用于溅射未钝化基板的阈值。然而,因在钝化工艺与蚀刻工艺之间需清除处理腔室的先前气体混合物,此类方案苦于处理速度缓慢。故本发明人提供处理基板的改进的方法,该方法以显著更高的速度达成原子层蚀刻。
因此,本发明人已开发处理基板的改进的方法。
发明内容
本文提供用于处理基板的方法和设备。在一些实施例中,在基板处理腔室的处理容积内处理基板的方法包括:(a)在处理容积内的第一电极与第二电极之间提供处理气体,处理气体包含聚合物形成气体和蚀刻气体,其中第一电极在第二电极对面;(b)从第一RF电源施加第一电压波形至第二电极,以由处理气体形成等离子体,其中等离子体具有第一离子能量以直接在基板的介电层顶上沉积聚合物层;及(c)将第一电压波形调整成第二电压波形,以使等离子体的离子能量从第一离子能量提高至第二离子能量,其中等离子体在第二离子能量下停止沉积聚合物层,并开始蚀刻聚合物层和介电层。
在一些实施例中,基板处理设备包括:基板处理腔室,具有基板处理容积;基板支撑基座,设在基板处理容积内;第一电极,设在基板支撑基座内;第二电极,设在基板处理容积中且在第一电极对面;第一波形调整器,耦接至第一电极;第一RF电源,耦接至第一波形调整器;第二波形调整器,耦接至第二电极;及第二RF电源,耦接至第二波形调整器。
在一些实施例中,处理基板的方法包括:(a)提供基板至基板处理腔室,基板处理腔室包含第一电极和设在第一电极对面的第二电极;(b)提供处理气体至基板处理腔室,其中处理气体包含聚合物形成气体和蚀刻气体;(c)从第一RF电源施加第一电压波形至第二电极,以由处理气体形成等离子体,其中第一RF电源经由第一波形调整器耦接至第二电极,并且其中等离子体具有第一离子能量以直接在基板的介电层顶上沉积聚合物层;及(d)将第一电压波形调整成第二电压波形,以使等离子体的离子能量从第一离子能量提高至第二离子能量,其中等离子体在第二离子能量下停止沉积聚合物层,并开始蚀刻聚合物层和介电层。
本公开的其他和进一步的实施例将描述于后。
附图说明
可以通过参考所附附图中描绘的本公开说明性实施例来理解上面简要概述且在下文中更详细讨论的本公开实施例。然而,所附附图仅说明本公开的典型实施例,故不宜视为对本公开范围的限制,因为本公开可接纳其他等效实施例。
图1图示根据本公开一些实施例的用于处理基板的方法的流程图。
图2A至图2B图示根据本公开一些实施例的处理基板的阶段。
图3图示根据本公开一些实施例的基板处理***的示意图。
图4图示可用于图3所示处理***的射频(RF)偏压部件的实施例。
图5A至图5C图示可用于图1所示方法的具不同相位差的示例性波形。
为助于理解,已尽可能以相同的组件符号代表各图中共同的相同组件。附图未按比例绘制,且可简化以清楚呈现。一实施例的组件和特征可有益地并入其他实施例,在此不另外详述。
具体实施方式
本文提供用于处理基板的方法。本发明方法有利地以显著更高的速度促进介电材料的原子层蚀刻。本发明方法的实施例可有利地提供钝化及原子层蚀刻,同时利用来自单一气体混合物的预定等离子体化学组成。因此,本公开所述方法无需引入多种气体混合物即可进行原子层蚀刻,且无需清除处理腔室的气体便能执行原子层蚀刻。本公开中提供的方法可允许经由对等离子体的离子能量分布(IED)的控制来选择钝化状态(regime)或蚀刻状态。
图1图示根据本公开一些实施例的用于处理基板的方法100的流程图。在此,方法100将参照图2A至图2B所示的结构进行描述。本公开的方法100可在既能执行蚀刻又能执行沉积的单一处理腔室中执行。此类合适的处理腔室可以是独立的处理腔室或丛集工具的零件。或者,本文所公开的发明方法可在不同腔室中执行,该不同腔室亦可以是独立的或是丛集工具的零件。
下述图3图示根据本公开一些实施例的适于处理基板的设备300。设备300可包含控制器350和处理腔室302,处理腔室具有排气***320,用以自处理腔室302的内部移除过量处理气体、处理副产物等。示例性处理腔室可包括
Figure BDA0001602005700000031
ADVANTEDGETM、AVATARTM或其他处理腔室,以上可取自位于美国加利福尼亚州圣克拉拉市的应用材料公司。亦可使用其他合适的处理腔室。
处理腔室302具有内部容积305,内部容积可包括处理容积304。处理容积304例如可限定在基板支撑基座308与一或更多气体入口(例如在预定位置处提供的喷淋头314和/或喷嘴)之间,基板支撑基座设在处理腔室302内,以于处理期间支撑基板310。在一些实施例中,基板支撑基座308可包括将基板310保持或支撑在基板支撑基座308的表面上的机构,例如静电夹盘、真空夹盘、基板固定夹等(未图示)。在一些实施例中,基板支撑基座308可包括用于控制基板温度的机构(例如加热和/或冷却装置,未图示)和/或用于控制物种通量和/或邻近基板表面的离子能量的机构。
例如,在一些实施例中,基板支撑基座308可包括RF偏压电极340。RF偏压电极340可经由一或更多各自的波形调整器(所示第一偏压波形调整器336)耦接至一或更多RF偏压电源(图3所示RF电源338),波形调整器能调整供应到电气设备的各种电压波形。具体而言,各波形调整器可将RF波形修整或调整成非正弦波形(例如如图5A至图5C所示)。在一些实施例中,如图4所示,RF偏压电极340可经由第二偏压波形调整器404(和/或偏压脉冲调整器)耦接至第二RF偏压电源(例如RF电源402)。一或更多偏压电源能以范围介于约2兆赫至约60兆赫(诸如约2兆赫或约13.56兆赫或约60兆赫)的频率产生高达1200瓦(W)。一或更多偏压电源可提供连续功率或脉冲功率。一或更多偏压电源可在连续波(CW)模式或脉冲模式下操作。一或更多偏压电源可以在约10%与约90%之间的占空比(例如在给定循环中,供电时间与断电时间的总计期间的供电时间百分比)操作。
回溯图3,在一些实施例中,设备300可采用电容耦合RF功率来进行等离子体处理,但设备亦可或替代地可使用感应耦合RF功率来进行等离子体处理。例如,处理腔室302可具有顶棚342和喷淋头314,顶棚由介电材料制成,喷淋头为至少部分导电以提供RF电极,或者可提供分开的RF电极。喷淋头314(或其他RF电极)可经由一或更多各自的波形调整器(所示第一源波形调整器346)耦接至一或更多RF电源(所示RF电源348)。在一些实施例中,如图4所示,喷淋头314(或其他RF电极)可经由第二源波形调整器408(和/或源脉冲调整器)耦接至第二RF电源(例如RF电源406)。一或更多等离子体源能以约2兆赫和/或约13.56兆赫的频率或如27兆赫和/或60兆赫的高频产生高达约3000瓦,或在一些实施例中高达约5000瓦。在一些实施例中,各波形调整器亦可并入RF阻抗匹配网络电路,以可调地最小化因各RF电源与处理腔室内等离子体间的阻抗失配造成的反射RF功率。或者,可提供分开的RF阻抗匹配网络电路。
方法100一般始于步骤102:提供包含聚合物形成气体和蚀刻气体的处理气体至基板处理腔室(例如图3所示处理腔室302)的处理容积(例如图3所示内部容积305)。处理气体可由喷淋头314引入处理容积。处理容积设在第一电极(例如图3的RF偏压电极340)与第二电极(例如上述喷淋头314或分开的RF电极)之间。在一些实施例中,处理容积内的压力为约20毫托耳至约200毫托耳。处理气体的组成可视被蚀刻的具体材料与所用的聚合物形成气体而异。
在一些实施例中,聚合物形成气体包含氟碳气体。在一些实施例中,氟碳气体可以是四氟化碳(CF4)、三氟化甲烷(CHF3)、八氟环丁烷(C4F8)、六氟丁二烯(C4F6)、三氟化氮(NF3)、六氟化硫(SF6)等。
在一些实施例中,蚀刻气体包含稀有气体,例如氩、氦等。在一些实施例中,处理气体可进一步包含含氧气体,例如氧气(O2),以达成预定轮廓和对底层的选择性。
接着,在步骤104,从第一RF电源(例如RF电源348)施加具第一电压波形(第一波形)的RF能量至第二电极,以由处理气体形成等离子体。等离子体是在处理腔室的处理容积内形成。如图2A所示,等离子体具有第一离子能量206,用以直接在基板200的介电层202顶上沉积聚合物层204。在一些实施例中,等离子体的第一离子能量206为约0.1电子伏特(eV)至约100eV。基板200可以是任何适用于半导体制造工艺的基板。例如,基板200可包含介电材料、硅(Si)、金属等中的一或更多者。此外,基板200可包括额外材料层,或可具有一或更多完整的或部分完整的结构形成于基板200内或上。基板200包含介电层202,例如氧化硅、低介电常数材料(例如介电常数小于氧化硅或小于约3.9的材料)等。
在一些实施例中,从第二RF电源(例如RF电源338)施加RF能量至第一电极,以形成等离子体。在一些实施例中,第二RF电源提供约50瓦至约2000瓦的功率至第一电极。在一些实施例中,来自第二RF电源的RF能量具有第一波形。
接着,在步骤106,将第一波形调整成第二电压波形(第二波形),以使等离子体的离子能量从第一离子能量206提高至第二离子能量208。如图2B所示,等离子体在第二离子能量208下停止沉积聚合物层204,并开始蚀刻聚合物层204和介电层202。在一些实施例中,等离子体的第二离子能量为约50eV至约3000eV。
在一些实施例中,将第一波形调整成第二波形包含除了改变对波形形状的修整,还改变波形相位。图5A至图5C图示具不同相位的示例性修整波形。在一些实施例中,如图5A所示,具相位A的第一波形501用于直接在介电层202顶上沉积聚合物层204。第一波形与第二波形之间的相位变化以致从聚合物沉积状态转变成蚀刻状态取决于处理气体的组成。在一些实施例中,视处理气体的组成而定,将第一波形501调整成如图5B所示具相位B的第二波形502或如图5C所示具相位C的第三电压波形503将提高等离子体的离子能量从而蚀刻聚合物层204和介电层202。例如,通过以13兆赫施加150瓦功率而形成的且具有270度相位的第一波形提供聚合物沉积所需的离子能量。将相位调整成180度、同时维持实质相同的功率和处理气体组成可提高离子能量至足以蚀刻聚合物层和底下介电材料。在一些实施例中,重复步骤104-106,直到将介电层202蚀刻至预定厚度为止。
回溯图3,基板310可经由处理腔室302的壁中的开口312进入处理腔室302。可经由狭缝阀318或其他机构来选择性密封开口312,以选择性提供通过开口312对腔室内部的访问。基板支撑基座308可耦接至升降机构334,例如电机、致动器等,升降机构可在适于经由开口312传送基板进出腔室的较低位置(如图所示)与适于处理的可选较高位置之间控制基板支撑基座308的位置。处理位置可就特定工艺进行选择以最大化工艺均匀度。当处于较高处理位置中的至少一个时,基板支撑基座308可设在开口312上方,以提供对称处理区域。
一或更多气体入口(例如喷淋头314)可耦接至气体供应器316,以通过质量流量控制器317将一或更多种处理气体提供至处理腔室302的处理容积304中。此外,一或更多阀319可被提供以控制一或更多种处理气体的流量。质量流量控制器317和一或更多阀319可个别地或结合地使用,以依预定流率、恒定流率或脉冲地提供处理气体(如上所述)。
尽管图3中示出了喷淋头314,但亦可提供额外的或替代的气体入口,例如喷嘴或入口,喷嘴或入口设在处理腔室302的顶棚中或侧壁上或其他适于提供气体至处理腔室302的位置,例如处理腔室的底座、基板支撑基座的周围等。
排气***320一般包括泵送气室324和一或更多导管,导管将泵送气室324耦接至处理腔室302的内部容积305(通常为处理容积304)。
真空泵328可经由泵送口326耦接至泵送气室324,以经由一或更多排气口(图示两个排气口322)从处理腔室抽出排气。真空泵328可流体耦接至排气出口332,以使排气循径流到适当的排气处置设备。阀330(例如闸阀等)可设在泵送气室324中,以有助于结合真空泵328的操作来控制排气流率。尽管图示了z方向运动闸阀,但任何适合的工艺兼容阀皆可用于控制排气流量。
为协助控制上述处理腔室302,控制器350可以是任何通用计算机处理器类型,计算机处理器可用于工业设置以供控制各种腔室和子处理器。CPU 352的存储器或计算机可读介质356可以是一或更多种容易获得的存储器,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他类型的本地或远程数字存储设备。支持电路354耦接至CPU352,以通过常规方式支持处理器。这些电路包括高速缓存、电源、时钟电路、输入/输出电路和子***等。
本文所公开的发明方法可通常存储于存储器356中当作软件例程358,当由CPU352执行时,软件例程358使处理腔室302执行本公开的工艺。软件例程358亦可由第二CPU(未图示)存储和/或执行,第二CPU远离受CPU 352控制的硬件。本公开的方法的部分或全部亦可以硬件实现。因此,本公开可以软件实现及利用计算机***以硬件执行,做为例如专用集成电路或其他硬件实现类型,或做为软件与硬件的组合。软件例程358可在基板310被置于基板支撑基座308上后执行。当由CPU 352执行时,软件例程358将通用计算机转换成专用计算机(控制器)350,专用计算机350控制腔室操作,使得本文所公开的方法被执行。
尽管以上是针对本公开的实施例的,但可在不脱离本公开基本范围的情况下设计本公开的其他和进一步的实施例。

Claims (15)

1.一种在基板处理腔室的处理容积内处理基板的方法,所述方法包含下列步骤:
(a)在所述处理容积内的第一电极与第二电极之间提供处理气体,所述处理气体包含聚合物形成气体和蚀刻气体,其中所述第一电极在所述第二电极对面;
(b)从第一RF电源施加第一电压波形至所述第二电极,以由所述处理气体形成等离子体,其中所述等离子体具有第一离子能量以直接在所述基板的介电层顶上沉积聚合物层;及
(c)将所述第一电压波形调整成第二电压波形,以使所述等离子体的离子能量从所述第一离子能量提高至第二离子能量,其中所述等离子体在所述第二离子能量下停止沉积所述聚合物层,并开始蚀刻所述聚合物层和所述介电层,
其中将所述第一电压波形调整成所述第二电压波形的步骤包含以下步骤:利用波形调整器来改变所述第一电压波形与所述第二电压波形之间的波形形状和相位,同时维持相同的功率。
2.如权利要求1所述的方法,其中所述聚合物形成气体包含氟碳气体。
3.如权利要求2所述的方法,其中所述蚀刻气体包含稀有气体。
4.如权利要求1所述的方法,其中所述处理气体进一步包含含氧气体。
5.如权利要求1至4中任一项所述的方法,其中所述等离子体的所述第一离子能量为约0.1eV至约100eV。
6.如权利要求1至4中任一项所述的方法,其中所述等离子体的所述第二离子能量为约50eV至约3000eV。
7.如权利要求1至4中任一项所述的方法,其中所述第二电极设在基板支撑基座内。
8.如权利要求1至4中任一项所述的方法,进一步包含从第二RF电源施加能量至所述第一电极。
9.如权利要求8所述的方法,其中所述第二RF电源提供约50瓦至约2000瓦的功率至所述第一电极。
10.如权利要求1至4中任一项所述的方法,进一步包含以下步骤:重复步骤(b)-(c),以将所述介电层蚀刻至预定厚度。
11.如权利要求1至4中任一项所述的方法,其中所述波形调整器耦接于所述第一RF电源与所述第二电极之间。
12.如权利要求1至4中任一项所述的方法,其中所述处理容积内的压力为约20毫托耳至约200毫托耳。
13.一种基板处理设备,包含:
基板处理腔室,具有基板处理容积;
基板支撑基座,设在所述基板处理容积内;
第一电极,设在所述基板支撑基座内;
第二电极,设在所述基板处理容积中且在所述第一电极对面;
第一波形调整器,耦接至所述第一电极,以用于改变施加至所述第一电极的电压波形的波形形状和相位,同时维持相同的功率;
第一RF电源,耦接至所述第一波形调整器;
第二波形调整器,耦接至所述第二电极,以用于改变施加至所述第二电极的电压波形的波形形状和相位,同时维持相同的功率;及
第二RF电源,耦接至所述第二波形调整器。
14.如权利要求13所述的基板处理设备,其中所述基板支撑基座在较低位置与可选的较高位置之间是可移动的。
15.如权利要求13至14中任一项所述的基板处理设备,进一步包含以下各项中的至少一者:
耦接至所述第一电极的第一脉冲调整器,以及耦接至所述第一脉冲调整器的第三RF电源;或者
耦接至所述第二电极的第二脉冲调整器,以及耦接至所述第二脉冲调整器的第四RF电源。
CN201680055207.6A 2015-10-02 2016-09-29 用于原子层次分辨率与等离子体处理控制的方法 Active CN108140573B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562236643P 2015-10-02 2015-10-02
US62/236,643 2015-10-02
US15/279,058 US9978606B2 (en) 2015-10-02 2016-09-28 Methods for atomic level resolution and plasma processing control
US15/279,058 2016-09-28
PCT/US2016/054348 WO2017059017A1 (en) 2015-10-02 2016-09-29 Methods for atomic level resolution and plasma processing control

Publications (2)

Publication Number Publication Date
CN108140573A CN108140573A (zh) 2018-06-08
CN108140573B true CN108140573B (zh) 2023-06-20

Family

ID=58427953

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680055207.6A Active CN108140573B (zh) 2015-10-02 2016-09-29 用于原子层次分辨率与等离子体处理控制的方法

Country Status (6)

Country Link
US (1) US9978606B2 (zh)
JP (1) JP2018536981A (zh)
KR (1) KR20180051663A (zh)
CN (1) CN108140573B (zh)
TW (1) TWI723049B (zh)
WO (1) WO2017059017A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10998170B2 (en) * 2018-04-13 2021-05-04 Tokyo Electron Limited Method for ion mass separation and ion energy control in process plasmas
JP7263676B2 (ja) * 2018-04-13 2023-04-25 東京エレクトロン株式会社 プロセスプラズマにおけるイオンエネルギー分布を制御するための方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283208A (ja) * 1995-03-15 1995-10-27 Hitachi Ltd プラズマ処理方法
CN1883036A (zh) * 2003-10-21 2006-12-20 优利讯美国有限公司 使用时分多路复用工序和rf偏压调制的高外观soi结构的无切口刻蚀
CN101523569B (zh) * 2006-10-06 2012-07-18 东京毅力科创株式会社 等离子体蚀刻装置和等离子体蚀刻方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218196B1 (en) 1998-05-06 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Etching apparatus, etching method, manufacturing method of a semiconductor device, and semiconductor device
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP3563054B2 (ja) * 2000-09-29 2004-09-08 株式会社日立製作所 プラズマ処理装置および方法
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
JP4319514B2 (ja) * 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6942813B2 (en) 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20050221021A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
US7214628B2 (en) 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7141514B2 (en) 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9269587B2 (en) * 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9520294B2 (en) * 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201515622D0 (en) * 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283208A (ja) * 1995-03-15 1995-10-27 Hitachi Ltd プラズマ処理方法
CN1883036A (zh) * 2003-10-21 2006-12-20 优利讯美国有限公司 使用时分多路复用工序和rf偏压调制的高外观soi结构的无切口刻蚀
CN101523569B (zh) * 2006-10-06 2012-07-18 东京毅力科创株式会社 等离子体蚀刻装置和等离子体蚀刻方法

Also Published As

Publication number Publication date
TW201720952A (zh) 2017-06-16
US9978606B2 (en) 2018-05-22
TWI723049B (zh) 2021-04-01
JP2018536981A (ja) 2018-12-13
KR20180051663A (ko) 2018-05-16
CN108140573A (zh) 2018-06-08
US20170098549A1 (en) 2017-04-06
WO2017059017A1 (en) 2017-04-06

Similar Documents

Publication Publication Date Title
CN108140573B (zh) 用于原子层次分辨率与等离子体处理控制的方法
KR102180406B1 (ko) 에칭 방법
US8748322B1 (en) Silicon oxide recess etch
US11380551B2 (en) Method of processing target object
US20210134604A1 (en) Etching method
US9779961B2 (en) Etching method
US20220051904A1 (en) Etching method
US11462412B2 (en) Etching method
US11264246B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
US20220181162A1 (en) Etching apparatus
US10410874B2 (en) Plasma processing apparatus and method, and method of manufacturing semiconductor device using the same
JP2014096500A (ja) プラズマエッチング方法及びプラズマエッチング装置
US9543164B2 (en) Etching method
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
TW201707041A (zh) 蝕刻方法及蝕刻裝置
US20210327719A1 (en) Method for processing workpiece
US11107692B2 (en) Etching method
JP7158252B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP7229750B2 (ja) プラズマ処理方法およびプラズマ処理装置
KR20210000274A (ko) 에칭 방법 및 에칭 장치
KR20190098922A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US20150232990A1 (en) Film formation apparatus and film formation method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant