KR20230129345A - 플라즈마 처리 장치 및 에칭 방법 - Google Patents

플라즈마 처리 장치 및 에칭 방법 Download PDF

Info

Publication number
KR20230129345A
KR20230129345A KR1020230113502A KR20230113502A KR20230129345A KR 20230129345 A KR20230129345 A KR 20230129345A KR 1020230113502 A KR1020230113502 A KR 1020230113502A KR 20230113502 A KR20230113502 A KR 20230113502A KR 20230129345 A KR20230129345 A KR 20230129345A
Authority
KR
South Korea
Prior art keywords
gas
plasma
processing
region
etching
Prior art date
Application number
KR1020230113502A
Other languages
English (en)
Inventor
쇼 쿠마쿠라
마사히로 타바타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230129345A publication Critical patent/KR20230129345A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/0475Changing the shape of the semiconductor body, e.g. forming recesses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N15/00Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using the Nernst-Ettingshausen effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

탄화 규소를 포함하는 피처리체에 대한 에칭에 있어서 바람직하게 선택비를 향상시킨다. 탄화 규소를 포함하는 제 1 영역과 제 1 영역에 접하고 질화 규소를 포함하는 제 2 영역을 구비한 피처리체를 처리하는 일실시 형태에 따른 에칭 방법에 있어서, 질소를 포함하는 제 1 가스의 플라즈마를 생성하여 당해 플라즈마에 포함되는 이온을 포함하는 혼합층을 제 1 영역의 노출면의 원자층에 형성하고, 불소를 포함하는 제 2 가스의 플라즈마를 생성하여 당해 플라즈마에 포함되는 라디칼에 의해 혼합층을 제거하는 시퀀스를 반복하여 실행해, 제 1 영역을 원자층마다 제거함으로써 제 1 영역을 에칭한다.

Description

플라즈마 처리 장치 및 에칭 방법 {PLASMA PROCESSING APPARATUS AND ETCHING METHOD}
본 발명의 실시 형태는, 피처리체에 대한 에칭 방법에 관한 것이다.
플라즈마 에칭이 플라즈마 처리 장치를 이용한 피처리체의 플라즈마 처리의 일종으로서 알려져 있다. 플라즈마 에칭에 이용되는 레지스트 마스크는, 포토리소그래피 기술에 의해 형성되고, 피에칭층에 형성되는 패턴의 한계 치수는, 포토리소그래피 기술에 의해 형성되는 레지스트 마스크의 해상도에 의존한다. 그러나, 레지스트 마스크의 해상도에는 해상 한계가 있다. 전자 디바이스의 고집적화에 대한 요구가 점점 높아지고 있으며, 레지스트 마스크의 해상 한계보다 작은 치수의 패턴을 형성하는 것이 요구되고 있다. 예를 들면 SiC(탄화 규소)의 피처리체에 대한 에칭에 관한 기술이, 특허 문헌 1, 2 및 비특허 문헌 1에 개시되어 있다. 특허 문헌 1에는, CCl2F2와 Ar의 혼합 가스를 이용하여 SiC에 대하여 반응성 이온 빔 에칭을 행하는 에칭 방법이 개시되어 있다. 특허 문헌 2에는, SF6 가스를 포함하는 가스를 이용하여 SiC를 에칭하는 방법이 개시되어 있다. 비특허 문헌 1에는, CF4 가스, SF6 가스, N2 가스를 포함하는 혼합 가스를 이용하여 SiC를 에칭하는 기술이 개시되어 있다.
일본특허공개공보 평07-193044호 일본특허공개공보 평11-072606호
"Reactive Ion Etching of 6H-SiC in SF6/O2 and CF4/O2 with N2 Additive for Device Fabrication", R. Wolf and R. Helbig, J. Electrochem. Soc., Vol.143, No.3, March 1996
한편, 최근의 전자 디바이스의 고집적화에 따른 미세화에 의해 피처리체 상의 패턴 형성을 진행해 나가는 경우에 있어서, 고정밀도의 최소 선폭(CD : Critical Dimension)의 제어가 요구된다. SiC층에 대하여 얇은 슬릿을 수직으로 마련하는 경우, 마스크와의 선택비를 얻기 위해 Cl2계 가스 또는 HBr계 가스를 이용하는 경우가 있지만, Cl2계 가스 또는 HBr계 가스에 의해 금속 부분이 부식될 수 있다. NF3계 가스를 이용하는 경우에는, 금속 부분의 부식을 억제할 수 있지만, 선택비의 저하를 초래한다. 퇴적성의 탄소를 포함하는 가스를 이용함으로써 마스크와의 선택비를 얻는 경우가 있지만, 탄소를 포함하는 가스에 기인하여 발생하는 퇴적물이 얇은 슬릿의 개구의 폐색을 야기시킬 수 있다. 따라서, 탄화 규소를 포함하는 피처리체를 에칭하는 경우에 있어서 바람직하게 선택비를 향상시키는 기술이 요망되고 있다.
일태양에 있어서는, 피처리체에 대한 에칭 방법이 제공된다. 피처리체는 제 1 영역과 제 1 영역에 접하는 제 2 영역을 구비하고, 이 에칭 방법은, 피처리체가 수용되어 있는 플라즈마 처리 장치의 처리 용기 내에 있어서 제 1 가스의 플라즈마를 생성하여, 제 1 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층을 제 1 영역의 노출면의 원자층에 형성하는 제 1 공정과, 제 1 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제 2 공정과, 제 2 공정의 실행 후에, 처리 용기 내에 있어서 제 2 가스의 플라즈마를 생성하여, 제 2 가스의 플라즈마에 포함되는 라디칼에 의해 혼합층을 제거하는 제 3 공정과, 제 3 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제 4 공정을 포함하는 시퀀스를 반복하여 실행해, 제 1 영역을 원자층마다 제거함으로써, 제 1 영역을 에칭하고, 제 1 영역은 탄화 규소를 포함하며, 제 2 영역은 질화 규소를 포함하고, 제 1 가스는 질소를 포함하며, 제 2 가스는 불소를 포함한다.
상기 방법에 있어서, 먼저, 탄화 규소(SiC)를 포함하는 제 1 영역의 노출면은, 질화 규소(SiN)를 포함하는 제 2 영역이 제 1 영역에 접하고 있음으로써 제 2 영역에 의해 획정될 수 있다. 탄화 규소를 포함하는 제 1 영역의 노출면에는, 반복하여 실행되는 시퀀스의 제 1 공정에 있어서, 질소를 포함하는 제 1 가스의 플라즈마에 의해, 질소 이온을 함유하는 혼합층이 형성된다. 그리고, 당해 시퀀스의 제 3 공정에 있어서는, 제 1 공정에 의해 형성된 혼합층이 불소를 포함하는 제 2 가스의 플라즈마에 포함되는 라디칼을 이용하여 제거되지만, 질화 규소를 포함하는 제 2 영역에 대한 에칭에 대해서는 충분히 억제된다. 이와 같이, 질소를 포함하는 제 1 가스가 이용되는 제 1 공정에 있어서 혼합층이 제 1 영역의 노출면의 평면 형상을 따라 정밀하게 형성되고, 불소를 포함하는 제 2 가스가 이용되는 제 3 공정에 있어서 당해 혼합층만이 제 1 영역으로부터 제거된다. 따라서, 제 2 영역에 대한 에칭과 제 1 영역의 노출면의 상방에 있는 제 2 영역의 측면 등에 대한 퇴적물의 형성을 억제하면서, 제 1 영역의 노출면의 평면 형상이 정밀하게 유지된 상태에 있어서 제 1 영역에 대한 에칭이 가능해진다. 제 1 영역의 노출면의 평면 형상에 관계없이 균일하게, 제 1 영역에 대한 에칭이 가능해진다. 또한, 이러한 제 1 공정 및 제 3 공정을 포함하는 시퀀스가 반복하여 실행됨으로써, 제 1 영역의 노출면의 평면 형상이 정밀하게 유지된 상태에 있어서, 제 1 영역의 노출면의 평면 형상에 관계없이 균일하게, 원하는 깊이에 이르기까지 제 1 영역에 대한 에칭이 가능해진다. 또한, 제 1 가스 및 제 2 가스는, 모두 Cl2계 가스 및 HBr계 가스가 아니기 때문에, 금속 부분에 대한 부식이 회피될 수 있다.
일실시 형태에 있어서, 제 1 공정에서는, 제 1 가스의 플라즈마에 바이어스 전압을 인가하여, 제 1 영역의 노출면의 원자층에 이온을 포함하는 혼합층을 형성할 수 있다. 이와 같이, 제 1 가스의 플라즈마에 바이어스 전압이 인가되므로, 당해 플라즈마에 포함되는 이온(질소 원자의 이온)이 제 1 영역의 노출면에 대하여 이방적으로 공급될 수 있다. 이 때문에, 제 1 영역의 노출면에 형성되는 혼합층은, 제 1 영역의 노출면 상에서 볼 때 제 1 영역의 노출면의 평면 형상과 높은 정밀도로 일치하는 형상으로 형성 가능해진다.
일실시 형태에 있어서, 제 1 가스는, N2 가스이거나, 또는, N2 가스 및 O2 가스를 포함하는 혼합 가스일 수 있다. 이와 같이 질소를 함유하는 제 1 가스가 실현될 수 있다.
일실시 형태에 있어서, 제 2 가스는, NF3 가스, H2 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스일 수 있다. 이와 같이, 불소를 함유하는 제 2 가스가 실현될 수 있다.
일태양에 있어서는, 피처리 용기 내에 있어서 피처리체를 에칭하는 방법이 제공된다. 피처리체는 SiC를 포함하는 제 1 영역과 Ti, TiN, TiOx, W, WC, Hf, HfOx, Zr, ZrOx, Ta, SiO2, Si, SiGe, Ge, 또는 Ru를 포함하는 제 2 영역(x는 정의 수)을 구비한다. 이 방법은, 질소를 포함하는 제 1 가스의 플라즈마를 생성하여, 제 1 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층을 제 1 영역에 형성하는 공정과, 혼합층을 형성하는 공정의 실행 후에, 처리 용기 내에 있어서 불소를 포함하는 제 2 가스의 플라즈마를 생성하여, 혼합층을 제거하는 공정을 포함하는 시퀀스를 반복하여, 제 1 영역을 제거한다.
일실시 형태에 있어서, 혼합층을 형성하는 공정과 혼합층을 제거하는 공정의 사이에 또는 혼합층을 제거하는 공정 후에, 처리 용기 내의 공간을 퍼지하는 공정을 더 구비한다.
일실시 형태에 있어서, 제 1 가스는, N2 가스, NH3 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 포함하고, 제 2 가스는, NF3 가스, SF6 가스, CF4 가스 중 적어도 하나의 가스를 포함한다.
일실시 형태에 있어서, 제 1 가스는, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 더 포함한다.
일실시 형태에 있어서, 제 2 가스는, H2 가스, D2 가스, NH3 가스, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 더 포함한다.
일태양에 있어서는 에칭 방법이 제공된다. 이 에칭 방법은, 실리콘을 포함하는 제 1 영역과, 제 1 영역과는 상이한 제 2 영역을 구비하는 피처리체를 준비하는 공정과, 피처리체를 질소 플라즈마에 노출시켜, 제 1 영역에 질소를 포함하는 층을 형성하는 공정과, 층을 형성하는 공정 후에, 피처리체를 불소 플라즈마에 노출시켜, 질소를 포함하는 층을 제거하는 공정을 가지고, 층을 형성하는 공정 및 층을 제거하는 공정을 반복하여, 제 1 영역을 제거한다.
이상에서 설명한 바와 같이, 탄화 규소를 포함하는 피처리체를 에칭하는 경우에 있어서 바람직하게 선택비를 향상시키는 기술이 제공된다.
도 1은 일실시 형태에 따른 방법을 나타내는 순서도이다.
도 2는 플라즈마 처리 장치의 일례를 나타내는 도이다.
도 3은 (a), (b), (c) 및 (d)를 구비하고, 도 1에 나타내는 각 공정의 실행 전 및 실행 후의 피처리체의 상태의 일례를 나타내는 단면도이다.
도 4는 도 1에 나타내는 방법의 실행 중에 있어서의, 피에칭층에 대한 에칭량과 피에칭층에 형성되는 혼합층의 두께의 변화를 나타내는 도이다.
도 5는 (a), (b) 및 (c)를 구비하고, 도 1에 나타내는 방법에 있어서의 에칭의 원리를 나타내는 도이다.
도 6은 도 1에 나타내는 방법의 실행에 의해 얻어지는 결과의 일례를 나타내는 도이다
도 7은 일실시 형태에 따른 방법의 다른 형태를 피처리체에 적용한 경우를 설명하는 도이다.
이하, 도면을 참조하여 다양한 실시 형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당한 부분에 대해서는 동일한 부호를 부여하는 것으로 한다. 이하, 도 1을 참조하여, 플라즈마 처리 장치(10)를 이용하여 실시할 수 있는 에칭 방법(방법(MT))에 대하여 설명한다. 도 1은, 일실시 형태의 방법(방법(MT))을 나타내는 순서도이다. 도 1에 나타내는 일실시 형태의 방법(MT)은, 피처리체(이하, '웨이퍼'라고 하는 경우가 있음)를 처리하는 방법이다. 방법(MT)은 웨이퍼를 에칭하는 방법의 일례이다. 일실시 형태의 방법(MT)에서는, 일련의 공정을 단일의 플라즈마 처리 장치(예를 들면, 도 2에 나타내는 플라즈마 처리 장치(10))를 이용하여 실행하는 것이 가능하다.
도 2는, 일실시 형태의 플라즈마 처리 장치(10)를 나타내는 개요도이다. 도 2에 나타내는 플라즈마 처리 장치(10)는, Inductively Coupled Plasma(ICP)형의 플라즈마원을 구비한다. 플라즈마 처리 장치(10)는, 금속제(예를 들면 알루미늄제)의 통 형상(예를 들면 원통 형상)으로 형성된 처리 용기(192)를 구비한다. 처리 용기(192)는, 플라즈마 처리가 행해지는 처리 공간(Sp)을 구획 형성한다. 또한, 처리 용기(192)의 형상은 원통 형상에 한정되는 것은 아니다. 예를 들면 각통(角筒) 형상(예를 들면 상자 형상)이어도 된다. 또한, 플라즈마 처리 장치(10)의 플라즈마원은, ICP형에 한정되는 것은 아니고, 예를 들면 Electron Cyclotron Resonance(ECR)형, CCP형 또는 마이크로파를 이용한 것 등일 수 있다.
처리 용기(192)의 바닥부에는, 웨이퍼(W)를 배치하기 위한 배치대(PD)가 마련되어 있다. 배치대(PD)는, 정전 척(ESC), 하부 전극(LE)을 구비한다. 하부 전극(LE)은, 제 1 플레이트(18a), 제 2 플레이트(18b)를 구비한다. 처리 용기(192)는 처리 공간(Sp)을 구획 형성한다.
지지부(14)는, 처리 용기(192)의 내측에 있어서, 처리 용기(192)의 바닥부 상에 마련된다. 지지부(14)는, 예를 들면 대략 원통 형상을 가지고 있다. 지지부(14)는, 예를 들면 절연 재료로 구성된다. 지지부(14)를 구성하는 절연 재료는, 석영과 같이 산소를 포함할 수 있다. 지지부(14)는, 처리 용기(192) 내에 있어서, 처리 용기(192)의 바닥부로부터 연직 방향으로 연장된다.
배치대(PD)는 처리 용기(192) 내에 마련된다. 배치대(PD)는 지지부(14)에 의해 지지된다. 배치대(PD)는, 배치대(PD)의 상면에 있어서, 웨이퍼(W)를 유지한다. 웨이퍼(W)는 피처리체이다. 배치대(PD)는 하부 전극(LE) 및 정전 척(ESC)을 구비한다.
하부 전극(LE)은, 제 1 플레이트(18a) 및 제 2 플레이트(18b)를 포함한다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예를 들면 알루미늄 등의 금속으로 구성된다. 제 1 플레이트(18a) 및 제 2 플레이트(18b)는, 예를 들면, 대략 원반 형상의 형상을 구비한다. 제 2 플레이트(18b)는, 제 1 플레이트(18a) 상에 마련된다. 제 2 플레이트(18b)는, 제 1 플레이트(18a)에 전기적으로 접속된다.
정전 척(ESC)은, 제 2 플레이트(18b) 상에 마련된다. 정전 척(ESC)은, 한 쌍의 절연층의 사이, 또는, 한 쌍의 절연 시트의 사이에 있어서, 도전막의 전극이 배치된 구조를 구비한다. 직류 전원(22)은, 스위치(23)를 개재하여, 정전 척(ESC)의 전극에 전기적으로 접속된다. 정전 척(ESC)은, 직류 전원(22)으로부터의 직류 전압에 의해 발생하는 정전력에 의해, 웨이퍼(W)를 흡착한다. 이에 따라, 정전 척(ESC)은, 웨이퍼(W)를 유지할 수 있다.
포커스 링(FR)은, 웨이퍼(W)의 에지 및 정전 척(ESC)을 둘러싸도록, 제 2 플레이트(18b)의 주연부 상에 배치된다. 포커스 링(FR)은, 에칭의 균일성을 향상시키기 위해 마련된다. 포커스 링(FR)은, 에칭 대상의 막의 재료에 따라 적절히 선택되는 재료로 구성되어 있으며, 예를 들면, 석영으로 구성될 수 있다.
냉매 유로(24)는, 제 2 플레이트(18b)의 내부에 마련된다. 냉매 유로(24)는 온도 조절 기구를 구성한다. 냉매 유로(24)에는, 처리 용기(192)의 외부에 마련되는 칠러 유닛으로부터 배관(26a)을 통하여 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는, 배관(26b)을 통하여 칠러 유닛으로 복귀된다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전 척(ESC)에 의해 지지되는 웨이퍼(W)의 온도가 제어된다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He 가스를, 정전 척(ESC)의 상면과 웨이퍼(W)의 이면의 사이에 공급한다.
히터(HT)는 가열 소자이다. 히터(HT)는, 예를 들면 제 2 플레이트(18b) 내에 매립된다. 히터 전원(HP)은 히터(HT)에 접속된다. 히터 전원(HP)으로부터 히터(HT)에 전력이 공급됨으로써, 배치대(PD)의 온도가 조정되고, 그리고, 배치대(PD) 상에 배치되는 웨이퍼(W)의 온도가 조정된다. 또한, 히터(HT)는, 정전 척(ESC)에 내장될 수 있다.
판 형상 유전체(194)는, 배치대(PD)의 상방에 있어서, 배치대(PD)와 대향 배치된다. 하부 전극(LE)과 판 형상 유전체(194)는, 서로 대략 평행하게 마련된다. 판 형상 유전체(194)와 하부 전극(LE)의 사이에는, 처리 공간(Sp)이 제공된다. 처리 공간(Sp)은, 플라즈마 처리를 웨이퍼(W)에 행하기 위한 공간 영역이다.
플라즈마 처리 장치(10)에서는, 처리 용기(192)의 내벽을 따라 퇴적 실드(46)가 착탈 가능하게 마련되어 있다. 퇴적 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 퇴적 실드(46)는, 처리 용기(192)에 에칭 부생물(퇴적물)이 부착되는 것을 방지하는 것이며, 알루미늄재에 Y2O3 등의 세라믹을 피복함으로써 구성될 수 있다. 퇴적 실드는, Y2O3 외에, 예를 들면 석영과 같이 산소를 포함하는 재료로 구성될 수 있다.
배기 플레이트(48)는, 처리 용기(192)의 바닥부측으로서, 또한, 지지부(14)와 처리 용기(192)의 측벽의 사이에 마련되어 있다. 배기 플레이트(48)는, 예를 들면, 알루미늄재에 Y2O3 등의 세라믹을 피복함으로써 구성될 수 있다. 배기구(12e)는, 배기 플레이트(48)의 하방에 있어서, 처리 용기(192)에 마련되어 있다. 배기 장치(50)는, 배기관(52)을 개재하여 배기구(12e)에 접속된다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 구비하고 있으며, 처리 용기(192) 내의 공간을 원하는 진공도까지 감압할 수 있다. 고주파 전원(64)은, 웨이퍼(W)에 이온을 인입하기 위한 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이며, 400[kHz] ∼ 40.68[MHz]의 범위 내의 주파수, 일례에 있어서는 13[MHz]의 고주파 바이어스 전력을 발생시킨다. 고주파 전원(64)은, 정합기(68)를 개재하여 하부 전극(LE)에 접속된다. 정합기(68)는, 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로이다.
처리 용기(192)의 천장부에는, 예를 들면 석영 글라스 또는 세라믹 등으로 구성된 판 형상 유전체(194)가 배치대(PD)에 대향하도록 마련되어 있다. 구체적으로는, 판 형상 유전체(194)는, 예를 들면 원판 형상으로 형성되어, 처리 용기(192)의 천장부에 형성된 개구를 막도록 기밀하게 장착되어 있다. 처리 공간(Sp)은, 플라즈마원에 의해 플라즈마가 생성되는 공간이다. 처리 공간(Sp)은 웨이퍼(W)가 배치되는 공간이다.
처리 용기(192)에는, 후술의 제 1 가스 및 제 2 가스를 공급하는 가스 공급부(120)가 마련되어 있다. 가스 공급부(120)는, 상술한 처리 공간(Sp)으로, 제 1 가스 및 제 2 가스를 공급한다. 처리 용기(192)의 측벽부에는 가스 도입구(121)가 형성되어 있으며, 가스 도입구(121)에는 가스 공급 배관(123)을 개재하여 가스 공급원(122)이 접속되어 있다. 가스 공급 배관(123)의 도중에는 제 1 가스 및 제 2 가스의 유량을 제어하는 유량 제어기(예를 들면, 매스 플로우 컨트롤러(124) 및 개폐 밸브(126))가 개재되어 있다. 이와 같은 가스 공급부(120)에 의하면, 가스 공급원(122)으로부터 출력되는 제 1 가스 및 제 2 가스는, 매스 플로우 컨트롤러(124)에 의해 미리 설정된 유량으로 제어되어, 가스 도입구(121)로부터 처리 용기(192)의 처리 공간(Sp)으로 공급된다.
또한, 도 2에서는 설명을 간단하게 하기 위해, 가스 공급부(120)를 일계통의 가스 라인을 이용하여 표현하고 있지만, 가스 공급부(120)는, 복수의 가스종(적어도, 제 1 가스 및 제 2 가스)을 처리 가스로서 공급하는 구성을 구비한다. 즉, 가스 공급부(120)는, 제 1 가스와 제 2 가스가 혼합되지 않는 배관 · 기능을 가진다. 또한, 도 2에 나타내는 가스 공급부(120)는, 일례로서, 처리 용기(192)의 측벽부로부터 가스를 공급하는 구성을 구비하고 있지만, 가스 공급부(120)는, 도 2에 나타내는 구성에 한정되지 않는다. 예를 들면, 가스 공급부(120)는, 처리 용기(192)의 천장부로부터 가스를 공급하는 구성을 구비할 수도 있다. 가스 공급부(120)가 이와 같은 구성을 구비하는 경우에는, 예를 들면, 판 형상 유전체(194)의 예를 들면 중앙부에 가스 도입구가 형성되며, 이 가스 도입구로부터 가스가 공급될 수 있다.
처리 용기(192)의 바닥부에는, 처리 용기(192) 내의 분위기를 배출하는 배기 장치(50)가 배기관(52)을 개재하여 접속되어 있다. 배기 장치(50)는, 예를 들면 진공 펌프에 의해 구성되며, 처리 용기(192) 내의 압력을 미리 설정된 압력으로 할 수 있다.
처리 용기(192)의 측벽부에는 웨이퍼 반입반출구(134)가 마련되어 있으며, 웨이퍼 반입반출구(134)에는 게이트 밸브(136)가 마련되어 있다. 예를 들면 웨이퍼(W)가 반입될 때에는, 게이트 밸브(136)가 개방되며, 도시하지 않은 반송 암 등의 반송 기구에 의해 웨이퍼(W)가 처리 용기(192) 내의 배치대(PD) 상에 배치된 후에, 게이트 밸브(136)가 폐쇄되고, 웨이퍼(W)의 처리가 개시된다.
처리 용기(192)의 천장부에는, 판 형상 유전체(194)의 상측면(외측면)에, 평면 형상의 고주파 안테나(140)와, 고주파 안테나(140)를 덮는 실드 부재(160)가 마련된다. 일실시 형태에 있어서의 고주파 안테나(140)는, 판 형상 유전체(194)의 중앙부에 배치되어 있는 내측 안테나 소자(142A)와, 내측 안테나 소자(142A)의 외주를 둘러싸도록 배치되어 있는 외측 안테나 소자(142B)를 구비한다. 내측 안테나 소자(142A), 외측 안테나 소자(142B)의 각각은, 예를 들면, 구리, 알루미늄, 스테인리스 등의 도체이며, 소용돌이 코일 형상을 구비한다.
내측 안테나 소자(142A), 외측 안테나 소자(142B)는, 모두, 복수의 지지체(144)에 지지되어 일체로 되어 있다. 지지체(144)는, 예를 들면, 막대 형상의 형상을 가지고 있다. 지지체(144)는, 내측 안테나 소자(142A)의 중앙 부근으로부터 외측 안테나 소자(142B)의 외측으로 돌출되도록 방사선 형상으로 배치되어 있다.
실드 부재(160)는, 내측 실드벽(162A)과 외측 실드벽(162B)을 구비한다. 내측 실드벽(162A)은, 내측 안테나 소자(142A)를 둘러싸도록, 내측 안테나 소자(142A)와 외측 안테나 소자(142B)의 사이에 마련되어 있다. 외측 실드벽(162B)은, 외측 안테나 소자(142B)를 둘러싸도록 마련되어 있으며, 통 형상의 형상을 구비한다. 따라서, 판 형상 유전체(194)의 상측면은, 내측 실드벽(162A)의 내측의 중앙부(중앙 존)와, 내측 실드벽(162A)과 외측 실드벽(162B)의 사이의 주연부(주연 존)로 나누어진다.
내측 안테나 소자(142A) 상에는, 내측 실드벽(162A)의 개구를 막도록 원판 형상의 내측 실드판(164A)이 마련되어 있다. 외측 안테나 소자(142B) 상에는, 내측 실드벽(162A)과 외측 실드벽(162B)의 사이의 개구를 막도록 도넛 판 형상의 외측 실드판(164B)이 마련되어 있다.
실드 부재(160)의 형상은, 원통 형상에 한정되는 것은 아니다. 실드 부재(160)의 형상은, 예를 들면, 각통 형상 등의 다른 형상일 수 있고, 또는, 처리 용기(192)의 형상에 맞춰진 것일 수 있다. 여기서는, 처리 용기(192)가 예를 들면 대략 원통 형상의 형상을 구비하므로, 당해 원통 형상에 맞추어 실드 부재(160)도 대략 원통 형상의 형상을 구비한다. 처리 용기(192)가 대략 각통 형상의 형상을 구비하고 있는 경우에는, 실드 부재(160)도 대략 각통 형상의 형상을 구비한다.
내측 안테나 소자(142A), 외측 안테나 소자(142B)의 각각에는, 고주파 전원(150A), 고주파 전원(150B)의 각각이 별도로 접속되어 있다. 이에 따라, 내측 안테나 소자(142A), 외측 안테나 소자(142B)의 각각에는, 동일한 주파수 또는 상이한 주파수의 고주파를 인가할 수 있다. 예를 들면, 고주파 전원(150A)으로부터 예를 들면 27[MHz] 등의 주파수의 고주파가 미리 설정된 파워[W]로 내측 안테나 소자(142A)에 공급되면, 처리 용기(192) 내에 형성된 유도 자계에 의해, 처리 용기(192) 내에 도입된 가스가 여기되어, 웨이퍼(W) 상의 중앙부에 도넛형의 플라즈마가 생성될 수 있다. 또한, 고주파 전원(150B)으로부터 예를 들면 27[MHz] 등의 주파수의 고주파가 미리 설정된 파워[W]로 외측 안테나 소자(142B)에 공급되면, 처리 용기(192) 내에 형성된 유도 자계에 의해, 처리 용기(192) 내에 도입된 가스가 여기되어, 웨이퍼(W) 상의 주연부에 다른 도넛형의 플라즈마가 생성될 수 있다. 고주파 전원(150A), 고주파 전원(150B)의 각각으로부터 출력되는 고주파는, 상술한 주파수에 한정되는 것은 아니고, 다양한 주파수의 고주파가, 고주파 전원(150A), 고주파 전원(150B)의 각각으로부터 공급될 수 있다. 또한, 고주파 전원(150A), 고주파 전원(150B)의 각각으로부터 출력되는 고주파에 따라, 내측 안테나 소자(142A), 외측 안테나 소자(142B)의 전기적 길이를 조정할 필요가 있다. 내측 실드판(164A), 외측 실드판(164B)의 각각에서는 액추에이터(168A), 액추에이터(168B)에 의해 별도로 높이를 조정할 수 있다.
제어부(Cnt)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 플라즈마 처리 장치(10)의 각 부를 제어한다. 구체적으로, 제어부(Cnt)는, 매스 플로우 컨트롤러(124), 개폐 밸브(126), 배기 장치(50), 고주파 전원(150A), 고주파 전원(150B), 고주파 전원(64), 정합기(68), 히터 전원(HP) 및 칠러 유닛에 접속되어 있다.
제어부(Cnt)는, 입력된 레시피에 기초하는 프로그램에 따라 동작하여, 제어 신호를 송출한다. 제어부(Cnt)로부터의 제어 신호에 의해, 적어도, 가스 공급원(122)으로부터 공급되는 가스의 선택 및 유량과, 배기 장치(50)의 배기와, 고주파 전원(150A), 고주파 전원(150B) 및 고주파 전원(64)으로부터의 전력 공급과, 히터 전원(HP)의 전력 공급과, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도를 제어하는 것이 가능하다. 또한, 본 명세서에 있어서 개시되는 피처리체에 대한 에칭 방법(도 1에 나타내는 방법(MT))의 각 공정은, 제어부(Cnt)에 의한 제어에 의해 플라즈마 처리 장치(10)의 각 부를 동작시킴으로써 실행될 수 있다.
도 1로 되돌아가, 방법(MT)에 대한 설명을 계속한다. 이하의 설명에서는, 도 1과 함께, 도 2, 도 3, 도 4, 도 5를 참조하여 설명한다. 도 3은, (a), (b), (c) 및 (d)를 구비하고, 도 1에 나타내는 각 공정의 실행 전 및 실행 후의 피처리체의 상태의 일례를 나타내는 단면도이다. 도 4는, 도 1에 나타내는 방법의 실행 중에 있어서의, 피에칭층에 대한 에칭량과 피에칭층에 형성되는 혼합층의 두께의 변화를 나타내는 도이다. 도 5는, 도 1에 나타내는 방법에 있어서의 에칭의 원리를 나타내는 도이다.
방법(MT)에 의해 처리되는 피처리체(웨이퍼(W))는, 제 1 영역과, 이 제 1 영역에 접하는 제 2 영역을 구비한다. 제 1 영역은, SiC(탄화 규소)를 포함한다. 제 2 영역은 SiN(질화 규소)을 포함한다. 본 실시 형태에 있어서의 이하의 설명에 있어서, 방법(MT)에 의해 처리되는 웨이퍼(W)의 구성은, 도 3의 (a)에 나타나 있는 구성인 것으로 하지만, 다른 구성을 가지는 웨이퍼(W)가 방법(MT)에 의해 처리되는 경우도 있을 수 있다. 예를 들면, 도 3의 (a)에 나타나 있는 구성 이외에, SADP(Spacer Aligned Double Patterning) 기술이 적용될 수 있는 웨이퍼(W)의 구성, SAQP(Spacer Aligned Quadruple Patterning) 기술이 적용될 수 있는 웨이퍼(W)의 구성, 셀프 얼라인먼트(Self-Alignment) 기술이 적용될 수 있는 웨이퍼(W)의 구성 등이, 방법(MT)에 의해 처리되는 웨이퍼(W)의 구성에 이용될 수 있다. SADP 기술이 적용될 수 있는 웨이퍼(W)의 구성 등의 상기의 어느 구성도, SiC를 포함하는 제 1 영역과 SiN을 포함하는 제 2 영역을 구비하고, 제 1 영역이 방법(MT)에 의한 에칭의 대상이 된다.
일실시 형태에 있어서, 공정(ST1)에서는, 도 3의 (a)에 나타내는 웨이퍼(W)가 준비되고, 웨이퍼(W)가 플라즈마 처리 장치(10)의 처리 용기(192) 내에 수용되며, 정전 척(ESC) 상에 배치된다. 공정(ST1)에 있어서 도 2에 나타내는 웨이퍼(W)로서 도 3의 (a)에 나타내는 상기의 웨이퍼를 준비한 후에, 시퀀스(SQ) 및 공정(ST3)의 각 공정을 실행한다. 일실시 형태에 있어서, 도 3의 (a)에 나타내는 웨이퍼(W)는, 도시하지 않은 지지 기체와, 이 지지 기체 상에 마련된 피에칭층(EL)(제 1 영역)과, 피에칭층(EL) 상(피에칭층(EL)의 표면(SF))에 마련된 마스크(MK)(제 2 영역)와, 마스크(MK)에 마련된 개구(TR)를 구비한다. 개구(TR)는, 마스크(MK)의 표면에 마련되어 있다. 마스크(MK)는, 개구(TR)로부터 피에칭층(EL)의 표면(SF)에 이르는 홀을 가진다. 개구(TR)는, 당해 홀을 통하여 피에칭층(EL)을 노출시킨다. 즉, 피에칭층(EL)의 표면(SF)의 일부(피에칭층(EL)의 노출면)는, 개구(TR)에 의해 노출되어 있으며, 개구(TR)의 내측의 바닥면이다. 일실시 형태에 있어서, 피에칭층(EL)의 재료는 SiC를 포함하고, 마스크(MK)의 재료는 SiN을 포함한다.
공정(ST1)에 이어지는 시퀀스(SQ) 및 공정(ST3)의 일련의 공정은, 피에칭층(EL)을 에칭하는 공정이다. 먼저, 공정(ST1)에 이어서 시퀀스(SQ)를 1 회(단위 사이클) 이상 실행한다. 시퀀스(SQ)는, ALE(Atomic Layer Etching)법과 동일한 방법에 의해, 피에칭층(EL) 중 마스크(MK)로 덮여 있지 않은 영역을, 마스크(MK)의 조밀(‘e密)에 관계없이 고선택비로 정밀하게 에칭하는 일련의 공정이며, 시퀀스(SQ)에 있어서 순차 실행되는 공정(ST2a)(제 1 공정), 공정(ST2b)(제 2 공정), 공정(ST2c)(제 3 공정), 공정(ST2d)(제 4 공정)을 포함한다.
공정(ST2a)은, 웨이퍼(W)가 수용되어 있는 플라즈마 처리 장치(10)의 처리 용기(192) 내에 있어서 제 1 가스의 플라즈마를 생성하고, 당해 제 1 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층(MX)을, 개구(TR)를 사이에 두고 피에칭층(EL)의 표면(SF)(노출면)의 원자층에 형성한다. 예를 들면, 공정(ST2a)에서는, 제 1 가스의 플라즈마에 고주파 전원(64)을 통하여 바이어스 전압을 인가하여, 피에칭층(EL)의 표면(SF)의 원자층에 대해, 제 1 가스의 플라즈마에 포함되는 이온을 포함하는 혼합층(MX)을 형성할 수 있다. 공정(ST2a)에 있어서, 도 3의 (b)에 나타내는 바와 같이, 웨이퍼(W)가 정전 척(ESC) 상에 배치되어 있는 상태에서 처리 용기(192) 내에 제 1 가스를 공급하여, 당해 제 1 가스의 플라즈마를 생성한다. 일실시 형태에 있어서는, 제 1 가스는, 질소를 포함하고, 구체적으로는 N2 가스를 포함한다. 제 1 가스는, 이외에, N2 가스와 O2 가스를 포함하는 혼합 가스일 수 있다. 도 3의 (b)에 나타내는 검은 칠을 한 원(검은 원)은, 제 1 가스의 플라즈마에 포함되는 이온(질소 원자의 이온)을 나타내고 있다. 구체적으로는, 가스 공급원(122)의 복수의 가스 소스 중 선택한 가스 소스로부터 N2 가스를 포함하는 제 1 가스를 처리 용기(192) 내에 공급한다. 그리고, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 고주파 전력을 공급하고, 고주파 전원(64)으로부터 고주파 바이어스 전압을 공급하여, 배기 장치(50)를 동작시킴으로써 처리 용기(192) 내의 처리 공간(Sp)의 기압을 미리 설정된 값으로 설정한다. 이와 같이 하여, 제 1 가스의 플라즈마가 처리 용기(192) 내에 있어서 생성되며, 제 1 가스의 플라즈마에 포함되는 이온(질소 원자의 이온)이, 고주파 바이어스 전력에 의한 연직 방향으로의 인입에 의해, 개구(TR)를 통하여 피에칭층(EL)의 표면(SF)에 접촉하고, 개구(TR)를 통하여 노출되어 있는 피에칭층(EL)의 표면(SF)(노출면)이 이방적으로 개질 된다. 이와 같이 공정(ST2a)에 있어서 피에칭층(EL)의 표면(SF) 중 이방적으로 개질된 개소가, 혼합층(MX)이 된다.
도 5는, (a), (b) 및 (c)를 구비하고, 도 1에 나타내는 방법(시퀀스(SQ))에 있어서의 에칭의 원리를 나타내는 도이다. 도 5에 있어서, 테두리만 있는 원(흰 원)은, 피에칭층(EL)을 구성하는 원자(예를 들면 SiC를 구성하는 원자)를 나타내고 있으며, 검은 칠을 한 원(검은 원)은, 제 1 가스의 플라즈마에 포함되는 이온(질소 원자의 이온)을 나타내고 있으며, 원으로 둘러싸인 'X'는, 후술의 제 2 가스의 플라즈마에 포함되는 라디칼을 나타내고 있다. 도 5의 (a) 및 도 3의 (b)에 나타내는 바와 같이, 공정(ST2a)에 의해, 제 1 가스의 플라즈마에 포함되는 질소 원자의 이온(검은 칠을 한 원(검은 원))이, 개구(TR)를 통하여 피에칭층(EL)의 표면(SF)(노출면)의 원자층에 이방적으로 공급된다. 이와 같이, 공정(ST2a)에 의해, 피에칭층(EL)을 구성하는 원자와 제 1 가스의 질소 원자를 포함하는 혼합층(MX)이, 개구(TR)에 의해 노출되어 있는 피에칭층(EL)의 표면(SF)(노출면)의 원자층에 형성된다(도 5의 (a)와 함께 도 3의 (c)도 참조).
이상과 같이, 제 1 가스가 N2 가스를 포함하므로 공정(ST2a)에 있어서, 피에칭층(EL)의 표면(SF)의 원자층에 질소 원자가 공급되어, 혼합층(MX)이 표면(SF)의 원자층에 형성될 수 있다.
공정(ST2a)에 이어지는 공정(ST2b)에서는, 처리 용기(192) 내의 처리 공간(Sp)을 퍼지한다. 구체적으로는, 공정(ST2a)에 있어서 공급된 제 1 가스가 배기된다. 공정(ST2b)에서는, 퍼지 가스로서 희가스(예를 들면 Ar 가스 등)와 같은 불활성 가스를 처리 용기(192)에 공급해도 된다. 즉, 공정(ST2b)의 퍼지는, 불활성 가스를 처리 용기(192) 내에 흘리는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.
공정(ST2b)에 이어지는 공정(ST2c)에서는, 처리 용기(192) 내에 있어서 제 2 가스의 플라즈마를 생성하고, 당해 플라즈마에 포함되는 라디칼을 이용한 케미컬 에칭에 의해, 혼합층(MX)을 제거한다. 공정(ST2c)에 있어서, 도 3의 (c)에 나타내는 바와 같이, 공정(ST2a)에 있어서 혼합층(MX)이 형성된 후의 웨이퍼(W)가 정전 척(ESC) 상에 배치되어 있는 상태에서, 처리 용기(192) 내에 제 2 가스를 공급하여, 제 2 가스의 플라즈마를 생성한다. 공정(ST2c)에 있어서 생성되는 제 2 가스의 플라즈마는, 혼합층(MX)을 제거하는 라디칼을 포함한다. 도 3의 (c)에 나타내는 원으로 둘러싸인 'X'는, 제 2 가스의 플라즈마에 포함되는 라디칼을 나타내고 있다. 제 2 가스는 불소를 포함한다. 제 2 가스는, 예를 들면, NF3 가스 및 H2 가스를 포함하는 혼합 가스이며, 예를 들면, NF3 가스, H2 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스일 수 있다. 구체적으로는, 가스 공급원(122)의 복수의 가스 소스 중 선택한 가스 소스로부터 상기의 제 2 가스가 처리 용기(192) 내에 공급되고, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 고주파 전력이 공급되고, 배기 장치(50)를 동작시킴으로써 처리 용기(192) 내의 처리 공간(Sp)의 기압이 미리 설정된 값으로 설정된다. 이와 같이 하여, 제 2 가스의 플라즈마가 처리 용기(192) 내에 있어서 생성된다. 공정(ST2c)에 있어서 생성된 제 2 가스의 플라즈마 중의 라디칼은, 개구(TR)를 통하여 피에칭층(EL)의 표면(SF)의 혼합층(MX)에 접촉한다. 도 5의 (b)에 나타내는 바와 같이, 공정(ST2c)에 의해, 피에칭층(EL)의 표면(SF)에 형성된 혼합층(MX)에 제 2 가스의 원자의 라디칼이 공급되어 혼합층(MX)이 케미컬 에칭에 의해 피에칭층(EL)으로부터 제거될 수 있다.
이상과 같이, 도 3의 (d)에 나타내는 바와 같이, 공정(ST2c)에 있어서, 피에칭층(EL)의 표면(SF)에 형성된 혼합층(MX)은, 제 2 가스의 플라즈마에 포함되는 라디칼에 의해, 피에칭층(EL)의 표면(SF)으로부터 제거될 수 있다.
공정(ST2c)에 이어지는 공정(ST2d)에서는, 처리 용기(192) 내의 처리 공간(Sp)을 퍼지한다. 구체적으로는, 공정(ST2c)에 있어서 공급된 제 2 가스가 배기된다. 공정(ST2d)에서는, 퍼지 가스로서 희가스(예를 들면 Ar 가스 등)과 같은 불활성 가스를 처리 용기(192)에 공급해도 된다. 즉, 공정(ST2d)의 퍼지는, 불활성 가스를 처리 용기(192) 내에 흘리는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.
시퀀스(SQ)에 이어지는 공정(ST3)에서는, 시퀀스(SQ)의 실행을 종료할지 여부를 판정한다. 구체적으로는, 공정(ST3)에서는, 시퀀스(SQ)의 실행 횟수가 미리 설정된 횟수에 도달하였는지 여부를 판정한다. 시퀀스(SQ)의 실행 횟수의 결정은, 피에칭층(EL)에 대한 에칭량(에칭에 의해 피에칭층(EL)에 형성되는 홈의 깊이)을 결정하는 것이다. 시퀀스(SQ)는, 피에칭층(EL)에 대한 에칭량이 미리 설정된 값에 이르기까지 피에칭층(EL)이 에칭되도록, 반복하여 실행될 수 있다. 시퀀스(SQ)의 실행 횟수의 증가에 따라, 피에칭층(EL)에 대한 에칭량도 증가(대략 선형적으로 증가)한다. 따라서, 1 회(단위 사이클)의 시퀀스(SQ)의 실행에 의해 에칭되는 피에칭층(EL)의 두께(1 회의 공정(ST2a)으로 형성되는 혼합층(MX)의 두께)와 시퀀스(SQ)의 실행 횟수와의 곱이 미리 설정된 값이 되도록, 시퀀스(SQ)의 실행 횟수가 결정될 수 있다.
도 4를 참조하여, 시퀀스(SQ)의 실행 중에 있어서 발생하는 피에칭층(EL)에 대한 에칭량의 변화와 피에칭층(EL)에 형성되는 혼합층(MX)의 두께의 변화에 대하여 설명한다. 도 4의 그래프(G1)는, 시퀀스(SQ)의 실행 중에 있어서 발생하는 피에칭층(EL)에 대한 에칭량(임의 단위)의 변화를 나타내고 있으며, 도 4의 그래프(G2)는, 시퀀스(SQ)의 실행 중에 있어서 발생하는 피에칭층(EL)에 형성되는 혼합층(MX)의 두께(임의 단위)의 변화를 나타내고 있다. 도 4의 가로축은, 시퀀스(SQ)의 실행 중의 시간을 나타내고 있지만, 공정(ST2b)의 실행 시간 및 공정(ST2d)의 실행 시간은 도시 간략화를 위해 생략되어 있다. 도 4에 나타내는 바와 같이, 1 회(단위 사이클)의 시퀀스(SQ)의 실행에 있어서, 공정(ST2a)의 실행은, 그래프(G2)에 나타내는 바와 같이, 혼합층(MX)의 두께가 미리 설정된 값(TH)이 될 때까지 행해진다. 공정(ST2a)에 있어서 형성되는 혼합층(MX)의 두께의 값(TH)은, 고주파 전원(64)에 의해 인가되는 바이어스 전력의 값과, 제 1 가스의 플라즈마에 포함되어 있는 이온의 피에칭층(EL)에 대한 단위 시간당의 도스(dose)량과, 공정(ST2a)의 실행 시간에 의해 결정될 수 있다.
또한, 도 4에 나타내는 바와 같이, 1 회(단위 사이클)의 시퀀스(SQ)의 실행에 있어서, 공정(ST2c)의 실행은, 그래프(G1) 및 그래프(G2)에 나타내는 바와 같이, 공정(ST2a)에 있어서 형성된 혼합층(MX)이 모두 제거될 때까지 행해진다. 공정(ST2c)의 실행 중에 있어서 타이밍(TM)에 이르기까지, 혼합층(MX)이 케미컬 에칭에 의해 모두 제거된다. 타이밍(TM)은, 공정(ST2c)에 있어서 행해지는 케미컬 에칭의 에칭 레이트에 의해 결정될 수 있다. 타이밍(TM)은 공정(ST2c)의 실행 중에 발생한다. 타이밍(TM)에서부터 공정(ST2c)의 종료까지의 동안에 있어서, 혼합층(MX)의 제거 후에 있어서의 피에칭층(EL)은, 제 2 가스의 플라즈마에 의해서는 에칭되지 않는다(셀프·리미티드). 즉, 제 2 가스의 플라즈마에 포함되는 라디칼을 이용한 경우, 피에칭층(EL)에 대한 에칭의 에칭 레이트는, 혼합층(MX)에 대한 에칭의 에칭 레이트와 비교해 매우 작다.
공정(ST3)에 있어서 시퀀스(SQ)의 실행 횟수가 미리 설정된 횟수에 도달하고 있지 않다고 판정되는 경우에는(공정(ST3) : NO), 시퀀스(SQ)의 실행이 다시 반복된다. 한편, 공정(ST3)에 있어서 시퀀스(SQ)의 실행 횟수가 미리 설정된 횟수에 도달하고 있다고 판정되는 경우에는(공정(ST3) : YES), 시퀀스(SQ)의 실행이 종료된다. 시퀀스(SQ) 및 공정(ST3)의 일련의 공정은, 마스크(MK)를 이용하여 시퀀스(SQ)를 반복하여 실행해 피에칭층(EL)을 원자층마다 제거함으로써, 마스크(MK)의 패턴의 조밀 또는 개구(TR)의 폭의 정도(값)에 관계없이, 피에칭층(EL)을 정밀하게 에칭하는 공정이다. 즉, 시퀀스(SQ)가 미리 설정된 횟수만큼 반복됨으로써, 피에칭층(EL)이, 마스크(MK)의 패턴의 조밀 또는 개구(TR)의 폭의 정도(값)에 관계없이, 마스크(MK)가 제공하는 개구(TR)의 폭과 동일 및 균일한 폭으로 정밀하게 에칭되고, 또한, 마스크(MK)에 대한 선택비도 향상된다. 이상과 같이, 시퀀스(SQ) 및 공정(ST3)의 일련의 공정은, ALE법과 동일한 방법에 의해, 피에칭층(EL)을 원자층마다 제거할 수 있다.
이하, 공정(ST2a), 공정(ST2c)의 각각의 주된 프로세스 조건의 실시예를 나타낸다.
<공정(ST2a)>의 프로세스 조건에 대하여
· 처리 용기(192) 내의 압력[mTorr] : 30[mTorr]
· 고주파 전원(150A) 및 고주파 전원(150B)의 고주파 전력의 값[W] : 0[W](27[MHz])
· 고주파 전원(64)의 고주파 전력의 값[W](주파수[MHz]) : 50[W](13[MHz])
· 제 1 가스 : N2 가스.
· 제 1 가스의 유량[sccm] : 200[sccm]
· 기판 온도[℃] : 60[℃]
· 처리 시간[s] : 15[s]
<공정(ST2c)>의 프로세스 조건에 대하여
· 처리 용기(192) 내의 압력[mTorr] : 400[mTorr]
· 고주파 전원(150A) 및 고주파 전원(150B)의 고주파 전력의 값[W] : 600[W](27[MHz])
· 고주파 전원(64)의 고주파 전력의 값[w](주파수[MHz]) : 0[W](13[MHz])
· 제 2 가스 : NF3 가스, H2 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스
· 제 2 가스의 유량[sccm] : 10[sccm](NF3 가스), 80[sccm](H2 가스), 150[sccm](O2 가스), 410[sccm](Ar 가스)
· 기판 온도[℃] : 60[℃]
· 처리 시간[s] : 5[s]
<시퀀스(SQ)>의 프로세스 조건에 대하여
· 반복 횟수 : 5 ∼ 60 회
상기의 프로세스 조건에 의해, 도 6에 나타내는 결과가 얻어진다. 도 6은, SiC층(일실시 형태에 따른 피에칭층(EL)과 동일한 재료의 층), SiN층의 각 층에 대하여 도 1에 나타내는 방법을 실행함으로써 얻어지는 결과의 일례를 나타내는 도이다. 도 6에 나타내는 그래프(G3)는 SiC층에 대하여 도 1에 나타내는 방법을 실행함으로써 얻어지는 결과이며, 도 6에 나타내는 그래프(G4)는 SiN층에 대하여 도 1에 나타내는 방법을 실행함으로써 얻어지는 결과이다. 도 6에 나타내는 가로축은 시퀀스(SQ)의 반복 횟수를 나타내고 있으며, 도 6에 나타내는 세로축은 방법(MT)(시퀀스(SQ) 및 공정(ST3))의 실행에 의해 제거되는 에칭량[nm](두께)을 나타내고 있다. 도 6에 나타내는 바와 같이, SiC층, SiN층 중 어느 것에 있어서도, 시퀀스(SQ)의 반복 횟수의 증가에 따라 에칭량[nm]도 증가한다. 그러나, 시퀀스(SQ)의 반복 횟수의 증가분에 대한 에칭량의 증가분은, SiC층(일실시 형태에 따른 피에칭층(EL)과 동일한 재료의 층)이, SiN층의 경우보다 현저하게 크다. 그래프(G3 ∼ G4)를 예를 들면 직선으로 피팅한 경우에, 그래프(G3)의 기울기는, 그래프(G4)의 기울기보다 현저하게 크다. 이 때문에, 예를 들면, (SiC층의 경우의 에칭량)/(SiN층인 경우의 에칭량)의 값(선택비)은, 시퀀스(SQ)의 반복 횟수가 24 회인 경우에 23의 정도이지만, 시퀀스(SQ)의 반복 횟수가 60 회인 경우에는 32의 정도가 되어, 현저하게 증가한다. 또한, 발명자는 예의 연구의 결과, 방법(MT)을 SiC층에 이용한 경우의 에칭 레이트[nm / min]가, 방법(MT)을 SiN층 등의 다른 재료의 층에 이용한 경우의 에칭 레이트[nm / min]와 비교하여 현저하게 높고, 또한, 공정(ST2a)을 행하지 않고 공정(ST2c)의 에칭만을 SiC층에 행한 경우의 에칭 레이트[nm / min]와 비교해도 현저하게 높은 것을 발견하고 있다. 따라서, SiC의 피에칭층(EL)을 방법(MT)에 의해 에칭하는 경우에는, SiN 등의 재료의 마스크(MK)를 이용하면, 양호한 선택비가 실현될 수 있다.
또한, 발명자는, 예의 연구의 결과, (Ar 가스의 유량[sccm]) / (O2 가스의 유량[sccm])의 값이 410 / 150을 하회하는 경우에는 피에칭층(EL)의 표면에 이물이 발생하는 경우가 있기 때문에, 이물의 생성을 회피하기 위해서는, (Ar 가스의 유량[sccm]) / (O2 가스의 유량[sccm])의 값이 410 / 150 이상의 값이 되도록 공정(ST2c)에 있어서의 Ar 가스의 유량[sccm] 및 O2 가스의 유량[sccm]을 설정하는 것이 바람직한 것을 발견했다. 특히, 피에칭층(EL)이 SiC인 경우이며 마스크(MK)가 SiN인 경우에 있어서, O2 가스의 유량은, SiC의 표면의 산화를 충분히 저감시키고 또한 SiN의 표면의 산화를 충분히 증가시킬 수 있기 위해 필요한 유량이 바람직하다.
상기의 방법(MT)에 있어서, 먼저, 탄화 규소(SiC)를 포함하는 제 1 영역(피에칭층(EL))의 노출면(개구(TR)를 통하여 노출된 표면(SF)의 일부)은, 질화 규소(SiN)를 포함하는 제 2 영역이 제 1 영역에 접하고 있음으로써 제 2 영역에 의해 획정될 수 있다. 탄화 규소를 포함하는 제 1 영역의 노출면에는, 반복하여 실행되는 시퀀스(SQ)의 공정(ST2a)에 있어서, 질소를 포함하는 제 1 가스의 플라즈마에 의해, 질소 이온을 함유하는 혼합층(MX)이 형성된다. 그리고, 시퀀스(SQ)의 공정(ST2c)에 있어서는, 공정(ST2a)에 의해 형성된 혼합층(MX)이 불소를 포함하는 제 2 가스의 플라즈마에 포함되는 라디칼을 이용하여 제거되지만, 질화 규소를 포함하는 제 2 영역에 대한 에칭에 대해서는 충분히 억제된다. 이와 같이, 질소를 포함하는 제 1 가스가 사용되는 공정(ST2a)에 있어서 혼합층(MX)이 제 1 영역의 노출면의 평면 형상(개구(TR)의 형상)을 따라 정밀하게 형성되고, 불소를 포함하는 제 2 가스가 이용되는 공정(ST2c)에 있어서 혼합층(MX)만이 제 1 영역으로부터 제거된다. 따라서, 제 2 영역에 대한 에칭과 제 1 영역의 노출면의 상방에 있는 제 2 영역(마스크(MK))의 측면(마스크(MK)의 개구 및 측벽) 등에 대한 퇴적물의 형성을 회피하면서, 제 1 영역의 노출면의 평면 형상이 정밀하게 유지된 상태에 있어서 제 1 영역에 대한 에칭이 가능해진다. 제 1 영역의 노출면의 평면 형상에 관계없이 균일하게, 제 1 영역에 대한 에칭이 가능해진다. 또한, 이러한 공정(ST2a) 및 공정(ST2c)을 포함하는 시퀀스(SQ)가 반복하여 실행됨으로써, 제 1 영역의 노출면의 평면 형상이 정밀하게 유지된 상태에 있어서, 제 1 영역의 노출면의 평면 형상에 관계없이 균일하게, 원하는 깊이에 이르기까지 제 1 영역 에 대한 에칭이 가능해진다. 또한, 제 1 가스 및 제 2 가스는, 모두 Cl2계 가스 및 HBr계 가스가 아니기 때문에, 금속 부분에 대한 부식이 회피될 수 있다.
또한, 제 1 가스의 플라즈마에 바이어스 전압이 인가되는 경우에는, 당해 플라즈마에 포함되는 이온(질소 원자의 이온)이 제 1 영역(피에칭층(EL))의 노출면(개구(TR)를 통하여 노출된 표면(SF)의 일부)에 대하여 이방적으로 공급될 수 있다. 이 때문에, 제 1 영역의 노출면에 형성되는 혼합층(MX)은, 제 1 영역의 노출면 상에서 볼 때 제 1 영역의 노출면의 평면 형상(개구(TR)의 형상)과 매우 정밀하게 일치하는 형상으로 형성 가능해진다.
이상, 바람직한 실시 형태에 있어서 본 발명의 원리를 도시하여 설명했지만, 본 발명은, 그러한 원리로부터 일탈하지 않고 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의해 인식된다. 본 발명은, 본 실시 형태에 개시된 특정한 구성에 한정되는 것은 아니다. 따라서, 특허청구의 범위 및 그 정신의 범위에서 오는 모든 수정 및 변경에 권리를 청구한다.
피에칭층(EL)의 재료가 다른 재료(예를 들면 SiN 등)인 경우 및 마스크(MK)의 재료가 다른 재료(예를 들면, Si를 함유하는 다른 재료 등)인 경우에도, 방법(MT)의 실행은 가능하지만, 피에칭층(EL)의 재료 및 마스크(MK)의 재료에 따라 제 1 가스종 및 제 2 가스종의 선택을 포함하는 프로세스 조건의 바람직한 조절이 필요해진다(예를 들면, 후술의 실시 형태를 참조).
(다른 실시 형태)
일실시 형태에 따른 방법(MT)에 있어서, 피에칭층(EL)(제 1 영역)의 재료가 SiC인 경우, 제 2 영역의 재료는, SiN에 한정되지 않고, 예를 들면, Ti, TiN, TiOx, W, WC, Ru, Hf, HfOx, Zr, ZrOx, Ta, SiO2, Si, SiGe, Ge 중 적어도 하나의 재료가 이용될 수 있다(x는 1 이상의 수이다. 이하 동일.).
피에칭층(EL)의 표면(SF)의 원자층에 혼합층(MX)을 형성하는 제 1 가스는, N(질소)을 가지는 가스, 구체적으로는, N2 가스, NH3 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 포함할 수 있다. 제 1 가스는, 이러한 N을 가지는 가스와 함께, 또한, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 등의 O(산소)를 가지는 가스 중 적어도 하나의 가스를 포함할 수 있다.
혼합층(MX)의 제거에 이용되는 제 2 가스는, F(불소)를 가지는 가스, 구체적으로는, NF3 가스, SF6 가스, CF4 가스 중 적어도 어느 하나의 가스를 포함할 수 있다. 제 2 가스는, 또한, H2 가스, D2 가스, NH3 가스, O를 가지는 가스(예를 들면, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 등) 중 적어도 하나 가스를 포함할 수 있다.
플라즈마 소스는, 하부로의 이온 에너지가 비교적 낮은 것이면 된다. 예를 들면, ICP, ECR(Electron Cyclotron Resonance) 플라즈마, 이온 트랩하는 구성, RLSA(Radial Line Slot Antenna)를 이용하여 생성되는 플라즈마 등이 이용된다.
O를 가지는 가스는, 제 1 가스, 제 2 가스, 또는 제 1 가스와 제 2 가스 양방에 첨가될 수 있다. O를 가지는 가스를 첨가하는 타이밍은, 제 1 가스의 공급 기간, 제 2 가스의 공급 기간의 각각 일부의 기간이어도 된다.
또한, 마스크(MK)의 재료가 Ru를 포함하는 경우에는, O를 가지는 가스의 첨가는 행해지지 않는다. O를 가지는 가스는, 제 2 가스를 이용하여 혼합층(MX)의 제거를 행하는 공정(ST2c)의 실행 전에 첨가될 수 있다.
또한, 당해 방법(MT)은, 도 7에 나타내는 웨이퍼(W1)에 있어서, 피에칭층(EL1)(제 1 영역)을 에칭하는 경우에도 적용 가능하다. 피에칭층(EL1)은, 도 3에 나타내는 웨이퍼(W)의 피에칭층(EL)에 대응하고 있다. 도 7에 나타내는 웨이퍼(W1)는, 피에칭층(EL1), 영역(ARa)(제 2 영역), 영역(ARb)(제 2 영역)을 구비한다. 피에칭층(EL1), 영역(ARa), 영역(ARb)은, 웨이퍼(W1)의 표면(SF1)을 따라 형성되어 있다. 표면(SF1)에는, 피에칭층(EL1), 영역(ARa), 영역(ARb)이 노출되어 있다. 영역(ARb) 상에는, 마스크(MK1)(제 2 영역)가 마련되어 있다.
피에칭층(EL1)의 재료는 SiC를 포함한다. 영역(ARa)의 재료 및 영역(ARb)의 재료는, 예를 들면 Si, SiN, SiO2, 금속, 유기물을 포함한다. 마스크(MK1)의 재료는, 예를 들면 유기물 또는 SiO2를 포함한다. 이러한 구성의 웨이퍼(W1)는, 에칭 전의 상태(CD1)로부터, 방법(MT)의 에칭의 실행에 의해, 에칭 후의 상태(CD2)에 이른다. 방법(MT)은, 피에칭층(EL1)의 표면에 질소를 포함하는 층(도 3의 (c)에 나타내는 혼합층(MX)에 대응하는 층)을 형성하는 공정(ST2a)과 질소를 포함하는 층을 제거하는 공정(ST2c)을 포함하는 시퀀스(SQ)를 반복하여 실행한다. 이에 따라, 상태(CD1)의 웨이퍼(W1)에 있어서 피에칭층(EL1)만이 선택적으로 에칭되어, 상태(CD2)의 웨이퍼(W1)가 형성된다. 공정(ST2a)에서는, 고주파 바이어스 전압을 인가하여 행해도 된다. 공정(ST2c)에서는, 고주파 바이어스를 인가하지 않고 행해도 된다. 공정(ST2c)에서 고주파 바이어스를 인가하지 않는 경우, 에칭 선택비를 향상시킬 수 있다.
(또 다른 실시 형태)
또한, 산화 규소를 포함하는 피처리체를 에칭하는 경우에 있어서 바람직하게 선택비를 향상시키는 기술도 요망되고 있다. 이하에 설명하는 다른 실시 형태에 따른 방법(MT)은, SiO2를 가지는 피에칭층(EL)(제 1 영역)을 선택적으로 에칭하는 방법이다. 이 방법(MT)에 있어서, 제 2 영역의 재료는, 예를 들면, Ti, TiN, TiOx, W, WC, Ru, Hf, HfOx, Zr, ZrOx, Ta 중 적어도 하나의 재료가 이용될 수 있다.
피에칭층(EL)의 표면(SF)의 원자층에 혼합층(MX)을 형성하는 제 1 가스는 N을 가지는 가스, 구체적으로는, N2 가스, NH3 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 포함할 수 있다. 제 1 가스는, 이러한 N을 가지는 가스와 함께, 또한, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 등의 O를 가지는 가스 중 어느 하나의 가스를 포함할 수 있다
혼합층(MX)의 제거에 이용하는 제 2 가스는, F를 가지는 가스, 구체적으로는, NF3 가스, SF6 가스, CF4 가스 중 적어도 하나의 가스를 포함할 수 있다. 제 2 가스는, 또한, H2 가스, D2 가스, NH3 가스, O를 가지는 가스(예를 들면, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 등) 중 적어도 하나의 가스를 포함할 수 있다.
플라즈마 소스는, 하부로의 이온 에너지가 비교적 낮은 것이면 되고, 예를 들면, ICP, ECR 플라즈마, 이온 트랩할 수 있는 구성, RLSA 등이 이용된다.
O를 가지는 가스는, 제 1 가스, 제 2 가스, 또는 양방에 첨가될 수 있다. O를 가지는 가스를 첨가하는 타이밍은, 제 1 가스의 공급 기간, 제 2 가스의 공급 기간의 각각, 일부의 기간이어도 된다.
또한, 마스크(MK)의 재료가 Ru를 포함하는 경우에는, O를 가지는 가스의 첨가는 행해지지 않는다. O를 가지는 가스는, 제 2 가스를 이용하여 혼합층(MX)의 제거를 행하는 공정(ST2c)의 실행 전에 첨가될 수 있다.
또한, 상기한 바와 같이 개시한 모든 실시 형태에 따른 방법(MT)(도 1 참조)에 있어서, 공정(ST1)은, 제 1 재료를 포함하는 피에칭층(예를 들면 피에칭층(EL), 피에칭층(EL1))과, 피에칭층과는 상이한 재료를 포함하는 영역(예를 들면, 마스크(MK), 영역(ARa), 영역(ARb), 마스크(MK1))을 구비하는 피처리체(예를 들면, 웨이퍼(W), 웨이퍼(W1))를 준비한다. 또한, 이 방법(MT)에 있어서, 공정(ST2a)은, 피처리체를 질소 플라즈마에 노출시켜, 피에칭층에 질소를 포함하는 층(예를 들면, 혼합층(MX))을 형성한다. 공정(ST2c)은, 질소를 포함하는 층을 형성하는 공정(ST2a)의 이후에, 피처리체를 불소 플라즈마에 노출시켜, 질소를 포함하는 층을 제거한다. 그리고, 이 방법(MT)에서는, 공정(ST2a) 및 공정(ST2c)을 반복하여, 피에칭층을 제거한다. 공정(ST2a)에서는, 고주파 바이어스 전압을 인가하여 행해도 된다. 공정(ST2c)에서는, 고주파 바이어스를 인가하지 않고 행해도 된다. 공정(ST2c)에서 고주파 바이어스를 인가하지 않는 경우, 에칭 선택비를 향상시킬 수 있다.
10 : 플라즈마 처리 장치
120 : 가스 공급부
121 : 가스 도입구
122 : 가스 공급원
123 : 가스 공급 배관
124 : 매스 플로우 컨트롤러
126 : 개폐 밸브
12e : 배기구
134 : 웨이퍼 반입반출구
136 : 게이트 밸브
14 : 지지부
140 : 고주파 안테나
142A : 내측 안테나 소자
142B : 외측 안테나 소자
144 : 지지체
150A : 고주파 전원
150B : 고주파 전원
160 : 실드 부재
162A : 내측 실드벽
162B : 외측 실드벽
164A : 내측 실드판
164B : 외측 실드판
168A : 액추에이터
168B : 액추에이터
18a : 제 1 플레이트
18b : 제 2 플레이트
192 : 처리 용기
194 : 판 형상 유전체
22 : 직류 전원
23 : 스위치
24 : 냉매 유로
26a : 배관
26b : 배관
28 : 가스 공급 라인
46 : 퇴적 실드
48 : 배기 플레이트
50 : 배기 장치
52 : 배기관
64 : 고주파 전원
68 : 정합기
ARa : 영역
ARb : 영역
CD1 : 상태
CD2 : 상태
Cnt : 제어부
EL : 피에칭층
EL1 : 피에칭층
ESC : 정전 척
FR : 포커스 링
G1 : 그래프
G2 : 그래프
G3 : 그래프
G4 : 그래프
HP : 히터 전원
HT : 히터
LE : 하부 전극
MK : 마스크
MK1 : 마스크
MT : 방법
MX : 혼합층
PD : 배치대
SF : 표면
SF1 : 표면
Sp : 처리 공간
SQ : 시퀀스
TH : 값
TM : 타이밍
TR : 개구
W : 웨이퍼
W1 : 웨이퍼

Claims (18)

  1. 처리 용기와,
    가스 공급부와,
    플라즈마 생성부와,
    상기 가스 공급부 및 상기 플라즈마 생성부를 제어하도록 구성된 제어부
    를 구비하고,
    상기 제어부는,
    탄화 규소를 포함하는 제 1 재료로 이루어지는 제 1 영역과, 상기 제 1 재료와는 상이한 재료를 포함하는 제 2 영역을 구비하는 피처리체를 준비하는 공정과,
    상기 피처리체를 질소 플라즈마에 노출시키는 공정과,
    상기 피처리체를 불소 플라즈마에 노출시켜, 상기 질소 플라즈마에 노출된 층을 제거하는 공정
    을 포함하는 처리를 실행하도록 구성된, 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 제어부는, 상기 피처리체를 질소 플라즈마에 노출시키는 공정 및 상기 층을 제거하는 공정을 반복하는 처리를 실행하도록 구성된, 플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 플라즈마 처리 장치는, 바이어스 생성부를 더 구비하고,
    상기 피처리체를 질소 플라즈마에 노출시키는 공정은, 바이어스를 생성하는 것을 포함하는, 플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 플라즈마 처리 장치는,
    배치대와,
    칠러 유닛을 더 포함하고,
    상기 배치대는, 상기 칠러 유닛으로부터 공급되는 냉매가 순환되도록 구성된 냉매 유로를 포함하는, 플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 플라즈마 처리 장치는,
    배치대와,
    히터 전원을 더 포함하고,
    상기 배치대는, 상기 히터 전원과 접속하는 히터를 포함하는, 플라즈마 처리 장치.
  6. 제 1 항에 있어서,
    상기 플라즈마 생성부는 ICP(Inductively Coupled Plasma)형 플라즈마원을 포함하는, 플라즈마 처리 장치.
  7. 제 1 항에 있어서,
    상기 플라즈마 생성부는 CCP(Capacitively Coupled Plasma)형 플라즈마원을 포함하는, 플라즈마 처리 장치.
  8. 제 1 항에 있어서,
    상기 제 2 영역은 질화 규소를 포함하는, 플라즈마 처리 장치.
  9. 제 1 항에 있어서,
    상기 제어부는, 상기 가스 공급부로부터 공급된 제 1 처리 가스로부터 상기 질소 플라즈마를 생성하도록 상기 플라즈마 생성부를 제어하고,
    상기 제 1 처리 가스는, N2 가스이거나, 또는, N2 가스 및 O2 가스를 포함하는 혼합 가스인, 플라즈마 처리 장치.
  10. 제 1 항에 있어서,
    상기 제어부는, 상기 가스 공급부로부터 공급된 제 2 처리 가스로부터 상기 불소 플라즈마를 생성하도록 상기 플라즈마 생성부를 제어하고,
    상기 제 2 처리 가스는, NF3 가스, H2 가스, O2 가스 및 Ar 가스를 포함하는 혼합 가스인, 플라즈마 처리 장치.
  11. 제 1 항에 있어서,
    상기 제 2 영역은, Ti, TiN, TiOx, W, WC, Hf, HfOx, Zr, ZrOx, Ta, SiO2, Si, SiGe, Ge, 또는 Ru(x는 정수)를 포함하는, 플라즈마 처리 장치.
  12. 제 1 항에 있어서,
    상기 제어부는, 상기 가스 공급부로부터 공급된 제 1 처리 가스로부터 상기 질소 플라즈마를 생성하도록 상기 플라즈마 생성부를 제어하고,
    상기 제 1 처리 가스는, N2 가스, NH3 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 포함하는, 플라즈마 처리 장치.
  13. 제 12 항에 있어서,
    상기 제 1 처리 가스는, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 더 포함하는, 플라즈마 처리 장치.
  14. 제 11 항 또는 제 12 항에 있어서,
    상기 제어부는, 상기 가스 공급부로부터 공급된 제 2 처리 가스로부터 상기 불소 플라즈마를 생성하도록 상기 플라즈마 생성부를 제어하고,
    상기 제 2 처리 가스는, NF3 가스, SF6 가스, CF4 가스 중 적어도 하나의 가스를 포함하는, 플라즈마 처리 장치.
  15. 제 14 항에 있어서,
    상기 제 2 처리 가스는, H2 가스, D2 가스, NH3 가스, O2 가스, CO2 가스, CO 가스, NO 가스, NO2 가스 중 적어도 하나의 가스를 더 포함하는, 플라즈마 처리 장치.
  16. 제 6 항에 있어서,
    상기 가스 공급부는 상기 처리 용기의 측벽부로부터 가스를 공급하는, 플라즈마 처리 장치.
  17. 제 6 항에 있어서,
    상기 처리 용기는 천장부에 가스 도입구를 갖고,
    상기 가스 공급부는 상기 가스 도입구로부터 상기 처리 용기 내에 가스를 공급하도록 구성된, 플라즈마 처리 장치.
  18. 탄화 규소를 포함하는 제 1 재료로 이루어지는 제 1 영역과, 상기 제 1 재료와는 상이한 재료를 포함하는 제 2 영역을 구비하는 피처리체를 준비하는 공정과,
    상기 피처리체를 질소 플라즈마에 노출시키는 공정과,
    상기 피처리체를 불소 플라즈마에 노출시켜, 상기 질소 플라즈마에 노출된 층을 제거하는 공정
    을 포함하는 에칭 방법.
KR1020230113502A 2017-05-11 2023-08-29 플라즈마 처리 장치 및 에칭 방법 KR20230129345A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2017094726 2017-05-11
JPJP-P-2017-094726 2017-05-11
JP2018044678A JP7071850B2 (ja) 2017-05-11 2018-03-12 エッチング方法
JPJP-P-2018-044678 2018-03-12
KR1020180053137A KR102573655B1 (ko) 2017-05-11 2018-05-09 에칭 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180053137A Division KR102573655B1 (ko) 2017-05-11 2018-05-09 에칭 방법

Publications (1)

Publication Number Publication Date
KR20230129345A true KR20230129345A (ko) 2023-09-08

Family

ID=64480341

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180053137A KR102573655B1 (ko) 2017-05-11 2018-05-09 에칭 방법
KR1020230113502A KR20230129345A (ko) 2017-05-11 2023-08-29 플라즈마 처리 장치 및 에칭 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180053137A KR102573655B1 (ko) 2017-05-11 2018-05-09 에칭 방법

Country Status (4)

Country Link
US (1) US20220005700A1 (ko)
JP (1) JP7071850B2 (ko)
KR (2) KR102573655B1 (ko)
TW (2) TWI757483B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7174634B2 (ja) 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01172606A (ja) 1987-12-26 1989-07-07 Kawasaki Heavy Ind Ltd 高温フランジの締結構造
JPH07193044A (ja) 1992-12-16 1995-07-28 Science & Tech Agency SiCのパターンエッチング方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS627130A (ja) * 1985-07-03 1987-01-14 Hitachi Ltd ドライエツチング方法
US7179751B2 (en) 2001-10-11 2007-02-20 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
JP5466756B2 (ja) * 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
JP5264834B2 (ja) * 2010-06-29 2013-08-14 東京エレクトロン株式会社 エッチング方法及び装置、半導体装置の製造方法
WO2012008179A1 (ja) 2010-07-12 2012-01-19 住友精密工業株式会社 エッチング方法
US9218983B2 (en) * 2011-07-13 2015-12-22 Tokyo Electron Limited Etching method and device
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01172606A (ja) 1987-12-26 1989-07-07 Kawasaki Heavy Ind Ltd 高温フランジの締結構造
JPH07193044A (ja) 1992-12-16 1995-07-28 Science & Tech Agency SiCのパターンエッチング方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Reactive Ion Etching of 6H-SiC in SF6/O2 and CF4/O2 with N2 Additive for Device Fabrication", R. Wolf and R. Helbig, J. Electrochem. Soc., Vol.143, No.3, March 1996

Also Published As

Publication number Publication date
TW201901799A (zh) 2019-01-01
TW202223986A (zh) 2022-06-16
TWI830129B (zh) 2024-01-21
KR102573655B1 (ko) 2023-09-01
US20220005700A1 (en) 2022-01-06
JP2018190955A (ja) 2018-11-29
JP7071850B2 (ja) 2022-05-19
TWI757483B (zh) 2022-03-11
KR20180124754A (ko) 2018-11-21

Similar Documents

Publication Publication Date Title
TWI760555B (zh) 蝕刻方法
CN108878285B (zh) 蚀刻方法
US11380551B2 (en) Method of processing target object
JP6366454B2 (ja) 被処理体を処理する方法
JP6438831B2 (ja) 有機膜をエッチングする方法
US11462412B2 (en) Etching method
KR20190135413A (ko) 막을 에칭하는 방법 및 플라즈마 처리장치
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
KR20170000340A (ko) 에칭 방법
US20210327719A1 (en) Method for processing workpiece
CN109075068B (zh) 蚀刻方法
JP2019216235A (ja) 処理方法およびプラズマ処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal