CN107783030B - 具有低功率扫描***的集成电路 - Google Patents

具有低功率扫描***的集成电路 Download PDF

Info

Publication number
CN107783030B
CN107783030B CN201610754723.XA CN201610754723A CN107783030B CN 107783030 B CN107783030 B CN 107783030B CN 201610754723 A CN201610754723 A CN 201610754723A CN 107783030 B CN107783030 B CN 107783030B
Authority
CN
China
Prior art keywords
flip
signal
flop
scan
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610754723.XA
Other languages
English (en)
Other versions
CN107783030A (zh
Inventor
王岭
张旺根
章伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Priority to CN201610754723.XA priority Critical patent/CN107783030B/zh
Priority to US15/365,890 priority patent/US10338136B2/en
Publication of CN107783030A publication Critical patent/CN107783030A/zh
Application granted granted Critical
Publication of CN107783030B publication Critical patent/CN107783030B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/302Contactless testing
    • G01R31/303Contactless testing of integrated circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31723Hardware for routing the test signal within the device under test to the circuits to be tested, e.g. multiplexer for multiple core testing, accessing internal nodes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本公开涉及具有低功率扫描***的集成电路。能够以扫描模式工作的集成电路包括由级联触发器单元形成的扫描链。每个触发器单元包括主锁存器,所述主锁存器接收第一数据信号并生成第一锁存信号;从锁存器,所述从锁存器接收第一锁存信号并生成第二锁存信号,以及多路复用器,所述多路复用器具有分别地连接至主锁存器和从锁存器的第一输入和第二输入,所述多路复用器接收第一输入信号和第二锁存信号并取决于输入触发信号生成扫描数据输出信号。第一输入信号是第一数据信号和第一锁存信号中的一个。由输入触发信号对提供至从锁存器的时钟信号进行门控。

Description

具有低功率扫描***的集成电路
技术领域
本发明涉及测试集成电路(IC)以及,更具体地,涉及测试具有低功率扫描***的集成电路。
背景技术
扫描链被广泛地用于集成电路(IC)中以获得对IC的内部节点的接入,从而通过经由IC的触发器传递测试数据来简化IC测试。图1是包括彼此平行布置的多个扫描链102的常规扫描***100的示意性框图。每个扫描链102由多个级联触发器单元104形成。
图2是图1的触发器单元104中的一个的示意性框图。触发器单元104包括主锁存器106、具有连接至主锁存器106的输出端子的输入端子的从锁存器108以及具有连接至主锁存器106的输入端子的输出端子的多路复用器110。触发器单元104被配置为以功能模式和扫描模式这两种模式中的一种工作。多路复用器接收数据输入信号(D)和扫描数据输入信号(SDI),并取决于在扫描模式中有效的扫描启用(enable)信号生成第一数据信号。向主锁存器和从锁存器两者提供时钟信号。
对于全扫描设计,在扫描测试期间,IC中的所有触发器单元104和连接至触发器单元104的所有组合逻辑单元可以同时翻转,引起非常高的功耗。该高功耗比仅组合逻辑和触发器中的一些翻转的正常功能模式中的功耗大得多,以及这种高功耗可能超过IC的功率定额。此外,随着IC芯片密度和速度增大,扫描移位功率问题在加剧。因此,需要提供一种低功率扫描***。
附图说明
当结合附图阅读时,将更好地理解本发明的优选实施例的下列详细说明。通过示例的方式对本发明进行图示,并且其不限于附图,在附图中,相同的参考标号指示相似元件。
图1是由多个常规触发器单元形成的常规扫描测试***的示意性框图;
图2是图1的常规触发器单元的示意性框图;
图3是根据本发明的第一实施例的触发器单元的示意性框图;
图4是根据本发明的第二实施例的触发器单元的示意性框图;
图5是根据本发明的实施例的具有由多个图3或者图4的触发器单元形成的低功率扫描测试***的IC的示意性框图;
图6A-6C是根据本发明的实施例的由多个图3的触发器单元形成的图5的IC的扫描链的各种示意性框图;
图7A是图示根据本发明的实施例在图5的IC的第一扫描链的扫描模式期间实行的周期的时序图;
图7B是图示根据本发明的实施例在图5的IC的第二扫描链的扫描模式期间实行的周期的时序图;
图8是根据本发明的第三实施例的触发器单元的示意性框图;
图9是根据本发明的实施例的具有由多个图8的触发器单元形成的低功率扫描测试***的IC的示意性框图;以及
图10是根据本发明的一个实施例的低功率扫描方法的流程图。
具体实施方式
附图的详细说明旨在作为本发明的当前优选实施例的描述,并且不旨在表示可以实践本发明的仅有形式。应当理解,可以通过旨在包括在本发明的精神和范围内的不同实施例实现相同功能或者等效功能。
在本发明的实施例中,提供了能够以扫描模式工作的IC。IC包括由多个级联触发器单元形成的至少一个扫描链,其中扫描链接收扫描输入信号(SI)并且输出扫描输出信号(SO)。每个触发器单元包括主锁存器,该主锁存器接收第一数据信号并基于时钟信号生成第一锁存信号;连接至主锁存器的从锁存器,该从锁存器接收第一锁存信号并基于时钟信号生成第二锁存信号;以及第一多路复用器,该第一多路复用器具有分别连接至主锁存器和从锁存器的第一输入端子和第二输入端子,所述第一多路复用器接收第一输入信号和第二锁存信号以及取决于输入触发信号生成扫描数据输出信号(SDO)。第一输入信号是第一数据信号和第一锁存信号中的一个。触发器单元还包括第一逻辑门,该第一逻辑门用输入触发信号对提供至从锁存器的时钟信号进行门控(gate);以及至少一个第二逻辑门,所述至少一个第二逻辑门接收输入触发信号并生成作为至少一个扫描链中的下一个触发器单元的输入触发信号的输出触发信号。触发器单元至少包括接收扫描输入信号的第一触发器单元,以及将其扫描数据输出信号输出作为扫描输出信号的最后触发器单元。
在另一个实施例中,本发明提供了一种用于对能够以扫描模式工作的IC执行扫描测试的方法,该IC包括由多个级联触发器单元形成的至少一个扫描链。多个触发器单元至少包括用于接收扫描输入信号的第一触发器单元和用于输出扫描输出信号的最后触发器单元。每个触发器包括串联连接的主锁存器和从锁存器。方法包括:向多个触发器单元提供时钟信号,基于时钟信号通过第一触发器单元的扫描数据输入端子向扫描链提供扫描输入信号,以及从扫描链的最后触发器单元开始将每N个触发器单元配置为子组,其中N是大于1的自然数。方法还包括在时钟信号的每个时钟周期中从每个子组选择所选择的触发器单元,使链中未选择的触发器单元的从锁存器禁用(disable)和旁路,将扫描输入信号移位至每个子组中所选择的触发器单元,以及将包括扫描链的最后触发器单元的子组中的所选择的触发器单元的从锁存器中的数据输出作为扫描链的扫描输出信号。以循环(round-robin)方式从子组中的最后触发器单元依次地选择每个子组中的N个触发器单元。
现在参考图3,示出了根据本发明的实施例的能够以扫描模式工作的提出的触发器单元200的示意性框图。触发器单元200包括主锁存器202和从锁存器204。主锁存器202在数据输入端子处接收第一数据信号并在时钟输入端子处接收时钟信号(CLK),以及基于时钟信号在输出端子处生成第一锁存信号。从锁存器204具有连接至主锁存器202的输出端子的数据输入端子。从锁存器204在数据输入端子处接收第一锁存信号并在时钟输入端子处接收时钟信号,并且基于时钟信号在输出端子处生成第二锁存信号,其中由第一逻辑门206利用输入触发信号(TRIG_IN)对提供至从锁存器204的时钟信号进行门控。在优选实施例中,第一逻辑门206是与门。以这种方式,触发信号用于防止触发器单元200的不必要的功耗。在优选实施例中,主锁存器202接收第一数据信号并在每个时钟周期的后半段中生成第一锁存信号,并且从锁存器204接收第一锁存信号并在下一个时钟周期的前半段中生成第二锁存信号。
触发器单元200还包括第一多路复用器208,其具有连接至主锁存器202的数据输入端子以用于接收第一数据信号的第一输入端子,以及连接至从锁存器204的输出端子以用于接收第二锁存信号的第二输入端子。第一多路复用器208取决于输入触发信号生成扫描数据输出信号(SDO),使得主锁存器202和从锁存器204两者取决于触发信号被旁路。触发器单元200还包括至少一个第二逻辑门210,其接收输入触发信号以及生成作为至少一个扫描链中的下一个触发器单元的输入触发信号的输出触发信号。
在优选实施例中,触发器单元200能够以功能模式和扫描模式工作。触发器单元200包括第二多路复用器212,该第二多路复用器212具有分别接收数据输入信号(D)和扫描数据输入信号(SDI)的第一输入端子和第二输入端子,以及连接至主锁存器202的数据输入端子的输出端子。在扫描模式中,第二多路复用器212基于扫描启用信号(SE)生成第一数据信号。在优选实施例中,至少一个第二逻辑门210是用扫描启用信号对输入触发信号进行门控并且输出输出触发信号的与非门。
图4是根据本发明的第二实施例的能够以扫描模式工作的触发器单元300的示意性框图。除第一多路复用器208的第一输入端子连接至主锁存器202的输出端子用于接收第一锁存信号以使得从锁存器204基于输入触发信号被旁路以外,触发器单元300与图3中所示的触发器单元200基本上相同。
图5是根据本发明的实施例的具有由n个扫描链402_1~402_n形成的低功率扫描测试***的IC 400的示意性框图,其中n是自然数。n个扫描链402_1~402_n中的每一个在扫描链的对应的扫描输入端子处接收对应的扫描输入信号(SI_i),以及在扫描链的对应的扫描输出端子处输出对应的扫描输出信号(SO_i),其中i是自然数,且1≤i≤n,并且每个扫描链包括至少两个级联触发器单元。每个扫描链中的级联触发器单元至少包括接收扫描输入信号(SI_i)的第一触发器单元和输出扫描输出信号(SO_i)的最后触发器单元。在优选实施例中,图5中的触发器单元是图3所示的触发器单元200。在另一个优选实施例中,图5中的触发器单元是图4所示的触发器单元300。
在优选实施例中,IC 400中的扫描链具有不同数量的触发器单元。在图5所示的示例中,扫描链402_1由五个触发器单元404a~404e形成,其中触发器单元(FF0_0)404a是扫描链402_1的接收扫描输入信号(SI_1)的第一触发器单元,以及触发器单元(FF4_0)404e是扫描链402_1的输出扫描输出信号(SO_1)的最后触发器单元,而扫描链402_2由四个触发器单元形成,其中触发器单元(FF1_1)是扫描链402_2的接收扫描输入信号(SI_2)的第一触发器单元,以及触发器单元(FF4_1)是扫描链402_2的输出扫描输出信号(SO_2)的最后触发器单元。
在优选实施例中,从每个扫描链的最后触发器单元开始,将多个触发器单元的每N个触发器单元配置为子组,其中N是大于1的自然数,并且N小于或者等于扫描链中触发器单元的数量。在图5所示的示例中,N是2。即,在扫描链402_1中,触发器单元404e和404d形成第一子组406a,触发器单元404c和404b形成第二子组406b,以及触发器单元404a形成第三子组406c,该第三子组406c也是扫描链402_1中的最后子组,以及在扫描链402_2中,仅有两个子组,子组各自具有两个触发器单元。最后子组中的触发器单元数量可以等于或者小于N。在扫描模式中,在每N个时钟周期中,从每个子组的最后触发器单元依次地使每个子组中的N个触发器单元中的每一个的输入触发信号有效(asserted)。当N是2时,在每两个时钟周期中的第一时钟周期中使第三子组406c中的触发器单元404a的输入触发信号有效以及在每两个时钟周期中的第二时钟周期中使其失效。在优选实施例中,当N是2时,每个子组中的触发器单元中的一个的输入触发信号是一比特信号并且与子组中的触发器单元中的另一个触发器单元的输入触发信号反相。
IC 400还至少包括生成扫描链402_1中的第一触发器单元404a的输入触发信号的触发信号发生器408。在优选实施例中,在扫描模式中,输入触发信号在每个时钟周期的下降沿处翻转。在优选实施例中,当N是2时,触发信号发生器408是为具有奇数个触发器单元的扫描链提供第一输入触发信号(TRIG_IN_O)以及为具有偶数个触发器单元的扫描链提供第二输入触发信号(TRIG_IN_E)的触发器,使得在扫描模式中,一旦扫描启用信号有效,则在第一时钟周期处使每个扫描链的最后触发器单元的输入触发信号有效。
图6A是根据本发明的实施例的由触发器单元404a~404e形成的图5的扫描链402_1的示意性框图,触发器单元404a~404e各自具有图3的触发器单元200的结构。第一触发器单元404a的扫描数据输入端子接收扫描链402_1的扫描输入信号SI_1,并且最后触发器单元404e的扫描数据输出端子输出扫描链402_1的扫描输出信号SO_1。触发器单元404a~404c中的每一个具有连接至下一个触发器单元的扫描数据输入端子的扫描数据输出端子。触发器单元404b~404e中的每一个分别地从其前一个触发器单元接收输出触发信号作为其输入触发信号。
图6B和6C分别是根据本发明的第二和第三实施例使用各自具有图3的触发器单元200的结构的触发器单元404a~404e的图5的扫描链402_1的示意性框图。
在图6B中,除向奇数触发器单元404a、404c和404e直接提供扫描链402_1的输入触发信号以及偶数触发器单元404b和404d中的每一个分别从其前一个触发器单元接收反相的输入触发信号以外,扫描链402_1与图6A所示的扫描链402_1基本上相同。类似地,在图6C中,除向第一触发器单元404a提供扫描链402_1的输入触发信号以及向偶数触发器404b和404d直接提供反相的输入触发信号以外,扫描链402_1与图6A所示的扫描链402_1基本上相同。非第一奇数触发器404c和404e分别从其前一个触发器单元接收输入触发信号。
图7A是图示根据本发明的实施例在具有奇数个触发器单元的图6A的扫描链402_1的扫描模式期间实行的周期的时序图500。通过使扫描启用信号有效以扫描模式配置集成电路400。在图7A所示的示例中,从扫描链的最后触发器单元开始,将多个触发器单元的每2个触发器单元配置为子组。当N是2以及链中有奇数个触发器单元时,输入触发信号(TRIG_IN_O)是一比特信号,使该信号从奇数时钟周期的后半段的前沿开始到随后的偶数时钟周期的后半段的前沿有效以选择链中的奇数触发器,以及从偶数时钟周期的后半段的前沿开始到随后的奇数时钟周期的后半段的前沿使其失效以选择链中的奇数触发器。当N是2以及链中有偶数个触发器单元时,输入触发信号(TRIG_IN_O)是一比特信号,使该信号从偶数时钟周期的后半段的前沿开始到随后的奇数时钟周期的后半段的前沿有效以选择链中的奇数触发器,以及从奇数时钟周期的后半段的前沿开始到随后的偶数时钟周期的后半段的前沿使其失效以选择链中的奇数触发器。
基于时钟信号向扫描链402_1提供扫描输入信号。每个触发器单元的主锁存器L1接收第一数据信号并在每个时钟周期的后半段中在输出q处生成第一锁存信号,以及所选择的触发器单元的从锁存器L2接收第一锁存信号并在下一个时钟周期的前半段中在输出q处生成第二锁存信号。每个未选择的触发器单元的从锁存器被旁路以及保存第二锁存信号,使得从最后触发器单元开始,扫描链402_1在时钟周期的后半段中依次输出链中触发器单元中的每一个的第二锁存信号。以这种方式,以扫描模式防止未选择的触发器单元的不必要功耗。
图7B是图示根据本发明的实施例在具有偶数个触发器单元的图5的扫描链402_2的扫描模式期间实行的周期的时序图502。除当扫描启用信号(SE)有效时,输入触发信号(TRIG_IN_E)与图7A中的输入触发信号(TRIG_IN_O)反相并仅花费四个时钟周期将扫描链402_2中的四个触发器单元的第二锁存器中的数据移出以外,时序图502与图7A所示的时序图基本上相同。
参照图8,示出了根据本发明的第三实施例所提出的能够以扫描模式工作的触发器单元600的示意性框图。除由第一逻辑装置602利用第一和第二输入触发信号(TRIG_IN_1和TRIG_IN_2)对提供至从锁存器204的时钟信号进行门控以外,触发器单元600与图3所示的触发器单元200类似。在优选实施例中,第一逻辑装置602包括第一与门604,其接收第一和第二输入触发信号并输出组合触发信号;以及第二与门606,其用组合触发信号对时钟信号进行门控并向从锁存器204提供门控时钟信号。还向第一多路复用器的选择输入端子提供组合触发信号。以这种方式,组合触发信号用于防止触发器单元600的不必要功耗。在优选实施例中,第一和第二输入触发信号中的一个被反相并且提供至链中的下一个触发器单元作为下一个触发器单元的第二输入触发信号,以及第一和第二输入触发信号中的另一个输入触发信号提供至下一个触发器单元作为下一个触发器单元的第一输入触发信号。
图9是根据本发明的实施例的具有由n个扫描链702_1~702_n形成的低功率扫描测试***的IC 700的示意性框图,其中n是自然数。n个扫描链702_1~702_n中的每一个在扫描链的对应的扫描输入端子处接收对应的扫描输入信号(SI_i),并在扫描链的对应的扫描输出端子处输出对应的扫描输出信号(SO_i),其中i是自然数,且1≤i≤n,并且每个扫描链包括m个级联触发器单元,其中m是自然数,且m≥4。每个扫描链中的m个级联触发器单元包括接收扫描输入信号(SI_i)的第一触发器单元和输出扫描输出信号(SO_i)的最后触发器单元。
在图9所示的示例中,扫描链702_1由五个触发器单元704a~704e形成,其中触发器单元704a是扫描链702_1的接收扫描输入信号(SI_1)的第一触发器单元,而触发器单元704e是扫描链702_1的输出扫描输出信号(SO_1)的最后触发器单元。在优选实施例中,图9中的触发器单元是图8所示的触发器单元600。
在优选实施例中,从扫描链702_1的最后触发器单元704e开始,将多个触发器单元的每N个触发器单元配置为子组,其中N是大于1且小于或者等于扫描链702_1中触发器单元的数量的自然数。在图9所示的示例中,N是4。即,触发器单元704b~704e形成第一子组706a,并且触发器单元704a形成第二子组706b,该第二子组706b也是扫描链702_1中的最后子组,其中最后子组中触发器单元的数量可以等于或者小于N。在扫描模式中,在每N个时钟周期中从每个子组的最后触发器单元依次地选择每个子组中的N个触发器单元。当N是4时,第二子组706b中的触发器单元704a在每四个时钟周期的第一时钟周期中被选择以及在每四个时钟周期的其余时钟周期中失效。
在优选实施例中,当N是4时,第一和第二输入触发信号形成两比特触发信号。IC700还包括生成扫描链702_1中的第一触发器单元704a的第一和第二触发信号的触发信号发生器708。在优选实施例中,触发信号发生器708是在每个时钟周期的下降沿处生成两比特格雷码的格雷码发生器。在优选实施例中,提供至扫描链702_1中的每个触发器单元的第一输入触发信号被反相并提供至下一个触发器单元作为其第二输入触发信号,以及提供至扫描链702_1中的每个触发器单元的第二输入触发信号被提供至下一个触发器单元作为其第一输入触发信号,使得在每四个时钟周期中从最后触发器单元依次地选择每个子组中的四个触发器单元。
在优选实施例中,n个扫描链702_1~702_n形成触发器单元的阵列710。阵列包括m列触发器单元,m列触发器单元包括由n个扫描链中的每一个的第一触发器单元形成的第一列和由n个扫描链中的每一个的最后触发器单元形成的最后列。在图9所示的示例中,触发器单元的阵列710由五列触发器单元712a~712e形成,其中列712a是第一列且列712e是最后列。第一列712a的触发器单元共享由触发信号发生器708提供的共同的第一和第二输入触发信号。
参照图10,示出了根据本发明的一个实施例对图5的IC 400执行的扫描方法的流程图800,IC 400包括由多个触发器单元形成的至少一个扫描链。至少一个扫描链在扫描输入端子处接收扫描输入信号,以及在扫描输出端子处输出扫描输出信号。多个触发器单元至少包括接收扫描输入信号的第一触发器单元和输出扫描输出信号的最后触发器单元。
在步骤802处开始,通过激活扫描启用信号以扫描模式配置触发器单元。在优选实施例中,激活扫描启用信号包括将扫描启用信号设置为逻辑高。
在步骤804处,向触发器单元提供时钟信号。在优选实施例中,可以在步骤802之前、之后或者同时执行步骤804。
在步骤806处,基于时钟信号通过第一触发器单元的扫描数据输入端子向扫描链提供扫描输入信号。
在步骤808处,从扫描链中的最后触发器单元开始,将每N个触发器单元配置为子组,其中N是大于1且小于或者等于扫描链中触发器单元的数量的自然数。扫描链包括第一子组和最后子组,第一子组包括扫描链中的最后触发器单元,最后子组包括扫描链中的第一触发器单元,其中包括第一触发器单元的扫描链中的最后子组中的触发器单元的数量可以等于或者小于N。
在步骤810处,在第一时钟周期,通过使提供至每个子组的最后触发器单元的输入触发信号有效将每个子组的最后触发器单元选择为所选择的触发器单元。提供至每个子组中的其余触发器单元(未选择的触发器单元)的输入触发信号保持为低。在优选实施例中,使提供至每个子组中所选择的触发器单元的输入触发信号在时钟周期的后半段的前沿处有效,并且持续一个时钟周期。
在步骤812处,通过基于提供至未选择的触发器单元的对应的输入触发信号(处于逻辑低状态)对提供至从锁存器的时钟信号进行门控以禁用扫描链中未选择的触发器单元的从锁存器,以及通过用对应的输入触发信号配置未选择的触发器单元的第一多路复用器使扫描链中未选择的触发器单元的从锁存器旁路。
在步骤814处,将扫描输入信号移位至每个子组的所选择触发器单元,至少使每个子组中未选择的触发器的从锁存器旁路,以及在步骤816处,将第一子组中所选择的触发器单元的从锁存器中的数据输出作为扫描链的扫描输出信号,至少使第一子组中的未选择的触发器的从锁存器旁路。在优选实施例中,未选择的触发器单元中的每一个的主锁存器和从锁存器两者被旁路。链中未选择的触发器单元的从锁存器中的每一个保存先前移位至从锁存器中的每一个的数据,使得在扫描中防止未选择的触发器单元的不必要功耗。在优选实施例中,读取扫描输出信号以验证集成电路。
在步骤818处,实行的时钟周期的数量达到扫描链中触发器单元的数量,扫描完成,否则,在步骤820处,将刚好位于每个子组中的所选择的触发器单元前面的触发器单元选择为所选择的触发器单元,基于输入触发信号将每个子组中的其余触发器单元配置为未选择的触发器单元,以及随后再次执行步骤812。以循环方式选择每个子组中的触发器单元,循环方式为在子组中的第一触发器单元之后接着选择子组中的最后触发器单元。
在优选实施例中,IC 400包括形成触发器单元的阵列的多个扫描链。阵列包括多个触发器单元列,所述多个触发器单元列至少包括由扫描链的第一触发器单元形成的第一列和由扫描链的最后触发器单元形成的最后列。第一列的触发器单元共享由触发信号发生器提供的共同列输入触发信号。
尽管已经图示和描述了本发明的各种实施例,但是将清楚的是,本发明不限于仅这些实施例。另外,尽管某些信号被描述为高有效或者低有效,但是本领域技术人员将理解,电路***可以被设计为高有效或者低有效,因此,在上面的示例中指定逻辑状态仅仅出于解释性目的并且将不对本发明的发明构思进行限制。如在权利要求中描述的,在不背离本发明的精神和范围的情况下,许多修改、变化、变型、替换和等效物将对本领域技术人员显而易见。

Claims (9)

1.一种能够以扫描模式工作的集成电路,包括:
由多个级联触发器单元形成的至少一个扫描链,其中所述扫描链接收扫描输入信号SI并输出扫描输出信号SO,以及其中每个触发器单元包括:
主锁存器,所述主锁存器接收第一数据信号并基于时钟信号生成第一锁存信号;
连接至所述主锁存器的从锁存器,所述从锁存器接收所述第一锁存信号并基于所述时钟信号生成第二锁存信号;
第一多路复用器,所述第一多路复用器具有分别地连接至所述主锁存器和所述从锁存器的第一输入端子和第二输入端子,所述第一多路复用器接收第一输入信号和所述第二锁存信号并取决于输入触发信号生成扫描数据输出信号SDO,其中所述第一输入信号是所述第一数据信号和所述第一锁存信号中的一个;
第一逻辑门,所述第一逻辑门用所述输入触发信号对提供至所述从锁存器的所述时钟信号进行门控;以及
至少一个第二逻辑门,所述至少一个第二逻辑门接收所述输入触发信号并生成输出触发信号作为所述至少一个扫描链中的下一个触发器单元的输入触发信号,以及
其中所述多个级联触发器单元至少包括接收所述扫描输入信号的第一触发器单元和输出其扫描数据输出信号作为所述扫描输出信号的最后触发器单元,
其中从所述至少一个扫描链的所述最后触发器单元开始,所述多个级联触发器单元的每N个触发器单元被配置为子组,其中N是大于1的自然数,其中在所述扫描模式中,以循环方式从每个子组的最后触发器单元依次地使对每个子组中的N个触发器单元中的每一个的所述输入触发信号有效。
2.根据权利要求1所述的集成电路,其中所述集成电路还能够以功能模式工作,以及其中每个触发器单元还包括:
连接至所述主锁存器的第二多路复用器,所述第二多路复用器接收数据输入信号D和扫描数据输入信号SDI,以及基于扫描启用信号生成所述第一数据信号。
3.根据权利要求1所述的集成电路,其中当N是2时,所述子组中的触发器单元中的一个的所述输入触发信号是一比特信号,并且与所述子组中的触发器单元中的另一个触发器单元的输入触发信号反相。
4.根据权利要求1所述的集成电路,其中当N是4时,所述输入触发信号是包括第一比特和第二比特的两比特信号,其中每个触发器单元的输入触发信号的第一比特被反相并被提供作为下一个触发器单元的输入触发信号的第二比特,以及每个触发器单元的输入触发信号的第二比特被提供作为所述下一个触发器单元的输入触发信号的所述第一比特。
5.根据权利要求1所述的集成电路,还包括触发信号发生器,所述触发信号发生器生成所述至少一个扫描链中的所述第一触发器单元的输入触发信号。
6.根据权利要求5所述的集成电路,其中所述至少一个扫描链包括多个扫描链,其中当N是2时,所述触发信号发生器为具有奇数个触发器单元的扫描链生成第一输入触发信号以及为具有偶数个触发器单元的扫描链生成与所述第一输入触发信号反相的第二输入触发信号。
7.一种用于对能够以扫描模式工作的集成电路IC执行扫描测试的方法,其中所述集成电路IC包括由多个级联触发器单元形成的至少一个扫描链,其中所述多个级联触发器单元至少包括用于接收扫描输入信号的第一触发器单元和用于输出扫描输出信号的最后触发器单元,以及其中每个触发器包括串联连接的主锁存器和从锁存器,所述方法包括:
向所述多个级联触发器单元提供时钟信号;
基于所述时钟信号通过所述第一触发器单元的扫描数据输入端子向所述扫描链提供所述扫描输入信号;
从所述扫描链的所述最后触发器单元开始,将每N个触发器单元配置为子组,其中N是大于1的自然数;
在所述时钟信号的每个时钟周期中从每个子组选择所选择的触发器单元;
使所述扫描链中的未选择的触发器单元的从锁存器禁用和旁路;
将所述扫描输入信号移位至所选择的触发器单元;
将包括所述最后触发器单元的第一子组中的所选择的触发器单元的从锁存器中的数据输出作为所述扫描链的扫描输出信号,其中以循环方式从所述子组中的所述最后触发器单元依次地选择每个子组中的N个触发器单元;以及
使所述至少一个扫描链中的所述未选择的触发器单元的主锁存器旁路,使得从所述未选择的触发器单元中的每一个的扫描数据输出端子直接地输出在所述未选择的触发器单元中的每一个的扫描数据输入端子处接收的所述扫描输入信号。
8.根据权利要求7所述的方法,其中所述集成电路IC包括形成所述触发器单元的阵列的多个扫描链,其中所述阵列包括多个触发器单元列,所述多个触发器单元列至少包括由所述扫描链的第一触发器单元形成的第一列和由所述扫描链的最后触发器单元形成的最后列,其中在所述扫描模式中,所述方法还包括:
从所述阵列的所述最后列开始,将每N个列配置为子组;
在所述时钟信号的每个时钟周期中从每个子组选择所选择的触发器单元列;以及
使所述阵列中的未选择的列中的触发器单元的从锁存器禁用和旁路,
其中所述触发器单元列的至少部分分别由其前一触发器单元列提供的触发信号选择。
9.根据权利要求8所述的方法,其中以循环方式从子组中的所述最后列依次地选择每个子组中的列。
CN201610754723.XA 2016-08-29 2016-08-29 具有低功率扫描***的集成电路 Active CN107783030B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201610754723.XA CN107783030B (zh) 2016-08-29 2016-08-29 具有低功率扫描***的集成电路
US15/365,890 US10338136B2 (en) 2016-08-29 2016-11-30 Integrated circuit with low power scan system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610754723.XA CN107783030B (zh) 2016-08-29 2016-08-29 具有低功率扫描***的集成电路

Publications (2)

Publication Number Publication Date
CN107783030A CN107783030A (zh) 2018-03-09
CN107783030B true CN107783030B (zh) 2021-04-23

Family

ID=61242255

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610754723.XA Active CN107783030B (zh) 2016-08-29 2016-08-29 具有低功率扫描***的集成电路

Country Status (2)

Country Link
US (1) US10338136B2 (zh)
CN (1) CN107783030B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10921371B2 (en) * 2017-07-05 2021-02-16 Seagate Technology Llc Programmable scan shift testing
US20200106424A1 (en) * 2018-09-27 2020-04-02 Apple Inc. Semi dynamic flop and single stage pulse flop with shadow latch and transparency on both input data edges
CN109270432B (zh) * 2018-09-28 2024-03-26 长鑫存储技术有限公司 测试方法与测试***
US11047911B2 (en) * 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Asynchronous circuits and test methods
US11073557B2 (en) * 2019-05-08 2021-07-27 Texas Instruments Incorporated Phase controlled codec block scan of a partitioned circuit device
US10948538B2 (en) * 2019-06-09 2021-03-16 Nxp Usa, Inc. Register for at-speed scan testing
US11770256B1 (en) * 2019-06-20 2023-09-26 Marvell Asia Pte, Ltd. System and method for bitcoin mining with reduced power
US11438142B1 (en) 2019-08-19 2022-09-06 Marvell Asia Pte, Ltd. System and method for mining digital currency in a blockchain network
TWI730707B (zh) * 2020-04-01 2021-06-11 瑞昱半導體股份有限公司 時脈閘控單元
CN113497606B (zh) * 2020-04-08 2024-02-23 瑞昱半导体股份有限公司 时脉闸控单元
CN112542127B (zh) * 2020-12-29 2024-03-08 天津市滨海新区微电子研究院 一种位置选择的微显示面板驱动电路及方法
TWI800925B (zh) * 2021-09-17 2023-05-01 瑞昱半導體股份有限公司 測試系統以及測試方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1650183A (zh) * 2002-04-30 2005-08-03 飞思卡尔半导体公司 用于安全扫描测试的方法和装置
US7843218B1 (en) * 2009-10-28 2010-11-30 Freescale Semiconductor, Inc. Data latch with structural hold
CN103576082A (zh) * 2012-08-06 2014-02-12 飞思卡尔半导体公司 低功率扫描触发器单元
CN105445653A (zh) * 2014-09-29 2016-03-30 飞思卡尔半导体公司 具有低功耗扫描触发器的集成电路
CN105897221A (zh) * 2015-02-13 2016-08-24 三星电子株式会社 多位触发器和扫描链电路

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6519729B1 (en) 1998-06-27 2003-02-11 Texas Instruments Incorporated Reduced power testing with equally divided scan paths
US6694467B2 (en) 1999-06-24 2004-02-17 Texas Instruments Incorporated Low power testing of very large circuits
US6769080B2 (en) 2000-03-09 2004-07-27 Texas Instruments Incorporated Scan circuit low power adapter with counter
US6898749B2 (en) 2000-09-20 2005-05-24 Texas Instruments Incorporated IC with cache bit memory in series with scan segment
US7406639B2 (en) 2004-12-13 2008-07-29 Lsi Corporation Scan chain partition for reducing power in shift mode
US7797603B2 (en) 2006-07-21 2010-09-14 Janusz Rajski Low power decompression of test cubes
CN101663648B (zh) 2007-02-12 2012-10-03 明导公司 低功耗扫描测试技术及装置
US7779320B2 (en) 2008-02-21 2010-08-17 Lsi Corporation Low power scan shifting with random-like test patterns
US8627160B2 (en) 2010-04-21 2014-01-07 Lsi Corporation System and device for reducing instantaneous voltage droop during a scan shift operation
US8332698B2 (en) * 2010-05-21 2012-12-11 Apple Inc. Scan latch with phase-free scan enable
US20120209556A1 (en) 2011-02-02 2012-08-16 Mentor Graphics Corporation Low Power Scan-Based Testing
US8566658B2 (en) 2011-03-25 2013-10-22 Lsi Corporation Low-power and area-efficient scan cell for integrated circuit testing
CN102305912B (zh) 2011-07-29 2014-06-04 清华大学 数据可压缩的低功耗集成电路测试装置及其方法
CN106771958B (zh) * 2015-11-19 2020-11-03 恩智浦美国有限公司 具有低功率扫描***的集成电路

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1650183A (zh) * 2002-04-30 2005-08-03 飞思卡尔半导体公司 用于安全扫描测试的方法和装置
US7843218B1 (en) * 2009-10-28 2010-11-30 Freescale Semiconductor, Inc. Data latch with structural hold
CN103576082A (zh) * 2012-08-06 2014-02-12 飞思卡尔半导体公司 低功率扫描触发器单元
CN105445653A (zh) * 2014-09-29 2016-03-30 飞思卡尔半导体公司 具有低功耗扫描触发器的集成电路
CN105897221A (zh) * 2015-02-13 2016-08-24 三星电子株式会社 多位触发器和扫描链电路

Also Published As

Publication number Publication date
US10338136B2 (en) 2019-07-02
US20180059178A1 (en) 2018-03-01
CN107783030A (zh) 2018-03-09

Similar Documents

Publication Publication Date Title
CN107783030B (zh) 具有低功率扫描***的集成电路
US9599672B2 (en) Integrated circuit with scan chain having dual-edge triggered scannable flip flops and method of operating thereof
US7055077B2 (en) Systems and methods for circuit testing
JP5591886B2 (ja) 完全に不定値許容性であって非常に高スキャン圧縮なスキャンテストシステム及び技術
US7249298B2 (en) Multiple scan chains with pin sharing
US8205125B2 (en) Enhanced control in scan tests of integrated circuits with partitioned scan chains
US8726108B2 (en) Scan test circuitry configured for bypassing selected segments of a multi-segment scan chain
US8819508B2 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
CN106771958B (zh) 具有低功率扫描***的集成电路
US8479068B2 (en) Decoded register outputs enabling test clock to selected asynchronous domains
US8683280B2 (en) Test generator for low power built-in self-test
US8700962B2 (en) Scan test circuitry configured to prevent capture of potentially non-deterministic values
US20160349318A1 (en) Dynamic Clock Chain Bypass
US7406639B2 (en) Scan chain partition for reducing power in shift mode
US6708305B1 (en) Deterministic random LBIST
US9046574B2 (en) Test circuit having scan warm-up
US6745373B2 (en) Method for insertion of test points into integrated circuit logic designs
US7213184B2 (en) Testing of modules operating with different characteristics of control signals using scan based techniques
US7539913B2 (en) Systems and methods for chip testing
US9666301B2 (en) Scannable memories with robust clocking methodology to prevent inadvertent reads or writes
US8751884B2 (en) Scan test circuitry with selectable transition launch mode
US11262403B2 (en) Semiconductor device
El-Mahlawy et al. Design and implementation of an new Built-In Self-Test boundary scan architecture
US20140201584A1 (en) Scan test circuitry comprising at least one scan chain and associated reset multiplexing circuitry
Czysz et al. On deploying scan chains for data storage in test compression environment

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant