CN107275309A - 保形低温密闭性电介质扩散屏障 - Google Patents

保形低温密闭性电介质扩散屏障 Download PDF

Info

Publication number
CN107275309A
CN107275309A CN201710356176.4A CN201710356176A CN107275309A CN 107275309 A CN107275309 A CN 107275309A CN 201710356176 A CN201710356176 A CN 201710356176A CN 107275309 A CN107275309 A CN 107275309A
Authority
CN
China
Prior art keywords
layer
low
dielectric
diffusion barrier
ild
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710356176.4A
Other languages
English (en)
Other versions
CN107275309B (zh
Inventor
S·金
俞辉在
S·科萨拉朱
T·格拉斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201710356176.4A priority Critical patent/CN107275309B/zh
Publication of CN107275309A publication Critical patent/CN107275309A/zh
Application granted granted Critical
Publication of CN107275309B publication Critical patent/CN107275309B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了适用作3D外形上方的电介质扩散屏障的保形密闭性电介质膜。在实施例中,电介质扩散屏障包括可通过原子层沉积ALD技术来沉积的诸如金属氧化物之类的电介质层,对于较薄的连续密闭性扩散屏障而言,通过原子层沉积ALD技术沉积的电介质层的保形度和密度大于通过PECVD工艺沉积的传统的基于二氧化硅的膜中可实现的保形度和密度。在其它实施例中,扩散屏障是例如双层的包括高k电介质层和低k或中等k电介质层的多层膜,以减小扩散屏障的介电常数。在其它实施例中,通过在保持较高的膜保形度和密度的同时调节硅酸盐的硅含量来将高k电介质层中的硅酸盐(例如金属硅酸盐)形成为减小扩散屏障的k值。

Description

保形低温密闭性电介质扩散屏障
本申请为分案申请,其原申请是于2014年8月19日(国际申请日为2011年12月20日)向中国专利局提交的专利申请,申请号为201180076399.6,发明名称为“保形低温密闭性电介质扩散屏障”。
技术领域
本发明的实施例总体上涉及半导体器件,更具体地,涉及保形电介质扩散屏障。
背景技术
诸如包含金属氧化物半导体晶体管(MOSFET)的集成电路等之类的微电子器件通过减小相邻特征之间的节距并且结合三维晶体管结构(例如,finFET(鳍式场效应晶体管))而不断缩小。随着晶体管的密度和非平面性增加以及互连金属化的增加,增大互连电容并且进行电隔离更加困难。最近十年的互连工艺越来越多地包含“低k”膜(例如,低于~3.2的膜)作为层间电介质(ILD)的选材,从而部分进一步实现了气隙形成,由此有意引入了相邻金属线之间的ILD内的空隙。另外,由于基本3D结构而导致外形会引起需要被保形电介质层密封/覆盖的空隙和/或缺陷。
等离子体增强化学气相沉积(PECVD)工艺通常用于低k互连应用中的电介质沉积,但没有提供高保形度/阶梯覆盖率。例如,PECVD低k膜通常具有小于55%的保形度(例如,仅仅对于沉积/蚀刻/沉积型顺序有接近75%的保形度),其中,电介质在垂直(例如,侧壁)表面上沉积的厚度小于电介质在水平(例如,顶)表面上沉积的厚度的55%。CVD或低压CVD(LPCVD)技术提供了更高的保形度,但需要比低k互连应用通常允许的温度较高的温度。
常常期望的是,用电介质层提供密闭性密封,例如防止金属(例如,Cu)从金属互连线向外扩散进入周围的ILD材料,以及防止湿气和湿化学品从周围的ILD(或者从气隙形成工艺中的空隙)向内扩散进入3D结构(例如,金属线、晶体管等)中。由于难以在3D外形上实现完美覆盖率和膜致密度,导致因此需要电介质扩散屏障是最小厚度。
因此,用以减小电介质扩散屏障最小厚度的材料和技术是有利的。
附图说明
以举例方式而非限制方式说明了本发明的实施例,可以在结合附图进行考虑时参照下面的具体实施方式来更充分地理解本发明的实施例,其中:
图1是示出根据实施例的形成电介质扩散屏障的方法的流程图;
图2A、图2B、图2C、图2D、图2E、如2F和图2G示出了通过根据图1中示出的方法实施例构造的IC的横截面的侧视图;
图3A至图3B示出了根据本发明的实施例的电介质扩散屏障实施例的实验评价的线状图;以及
图4是可以结合根据图1和图2A至图2G所示的方法构造的IC的移动计算平台的功能框图。
具体实施方式
在下面的描述中,阐述了众多细节,然而,本领域的技术人员应当清楚,可在没有这些具体细节的情况下实践本发明。在一些情形下,公知的方法和装置是以框图形式而非以细节示出的,以避免混淆本发明。在整个本说明书中提及“实施例”意指结合实施例描述的具体的特征、结构、功能或特性包括在本发明的至少一个实施例中。因此,在整个本说明书中的多处出现短语“在实施例中”未必是指本发明的同一实施例。此外,在一个或多个实施例中,可按任何合适方式组合具体的特征、结构、功能或特性。例如,第一实施例和第二实施例可以在这两个实施例互不排他的情况下任意组合。
在本文中可使用术语“耦合”和“连接”连同它们的衍生词来描述组件之间的结构关系。应该理解,这些术语不旨在是彼此的同义词。确切地,在具体实施例中,“连接”可用于指示两个或更多个元件彼此直接物理或电接触。“耦合”可用于指示两个或更多个元件彼此直接或间接(其间有其它居间元件)物理或电接触,和/或两个或更多个元件彼此协作或相互作用(例如,如同因果关系一样)。
本文所用的术语“上方”、“下方”、“在…之间”和“上”是指一个材料层相对于其它层的相对位置。如此,例如,设置在另一个层上方或下方的一个层可直接接触另一个层或者可具有一个或多个居间层。此外,设置在两个层之间的一个层可直接接触这两个层或者可具有一个或多个居间层。与此相对,第二层“上”的第一层直接接触第二层。
本文描述的是适用于3D外形上的电介质扩散屏障的保形密闭性电介质膜。在实施例中,电介质扩散屏障是通过原子层沉积(ALD)技术沉积的电介质层,相比于能够具有不超过75%保形度的、(例如)通过PECVD或通过重复性的沉积/溅射等离子体增强化学气相沉积(PECVD)工艺沉积的传统基于二氧化硅/氮化物/碳化物的膜中可以实现的保形度,ALD针对较薄连续扩散屏障实现的保形度是至少95%。因为ALD电介质层可具有比这种PECVD膜相对更高的介电常数,所以可反直觉地在对电容敏感的结构中采用这种材料,然而,已发现某些“高k”电介质层材料的优异阶梯覆盖率和较大质量/原子密度能够得到厚度减小的密闭性扩散屏障。通常,此高质量和/或高原子密度意味着无(或非常低的)孔隙率,其中,屏障材料中的空隙的间隔是使得难以使原子扩散穿过屏障材料(即,更曲折的扩散路径)这样的小尺寸。
因此,针对扩散屏障,可以优选地进行仅仅具有中等好的阶梯覆盖率和密闭性的中等k膜与具有更好的阶梯覆盖率和密闭性的更高k膜之间的折衷。例如,在某些应用中,扩散屏障的厚度的这种减小通过增大了极低电介质可用的体积(例如,气隙的体积)而实现对结构(例如,互连ILD层)的电容的净减小。
在进一步的实施例中,扩散屏障的介电常数有利地减小,由此,屏障形成为包括相对高k ALD层和低k或中间k电介质层(例如,双层)的多层膜。在其它实施例中,相对高k ALD层中的硅酸盐被形成为利用ALD电介质的保形度和密度,但通过调节硅酸盐中的硅含量来降低扩散屏障的k值。
图1是示出根据实施例的用于形成电介质扩散屏障的方法100的流程图,在该实施例中,ILD中的互连金属化包含在同一金属层(例如,金属线)的相邻金属结构之间的气隙。电介质扩散屏障设置在金属线的气隙侧壁之间,用于保护互连金属化。虽然示例性的互连实施例用作可用的说明,但要注意,示例性互连背景下描述的电介质扩散屏障材料和沉积技术可应用于其中在苛刻3D外形上需要致密的保形密闭性电介质扩散屏障的许多其它背景下。例如,本领域的技术人员可容易地实现本文提供的教导,以形成设置在finFET上的扩散屏障、浅沟槽隔离区域的衬垫、光电子集成电路中的波导的钝化层等。本文提供的教导也可应用于诸如以双镶嵌结构形成非常薄的密闭性Cu盖帽层之类的一些2D应用。
方法100先开始操作110,形成低k ILD结构。图2A示出根据方法100的实施例构造的通过诸如微处理器或其它逻辑器件的集成电路(IC)的横截面的侧视图。在图2A中,IC的晶体管设置在衬底201中。衬底201可包括任何传统的半导体衬底(例如,硅、Ge、SiGe、SiC、GaAs、InP、GaN等)(无论是主体格式还是SOI格式)以及聚合物衬底(例如,TFT应用中)。设置在衬底201中的晶体管可以是任何传统的设计,例如但不限于平面或非平面MOSFET、平面或非平面高电子迁移率晶体管(HEMT)等。
在衬底201上方设置包括低k电介质层210的低k ILD结构,例如通过本领域已知的任何双镶嵌工艺在低k电介质层210中嵌入第一金属互连级205。在示例性实施例中,低k电介质层210是根据孔隙率其电介质常数的范围是2.5-3.2的碳掺杂的氧化物(SiOC:H)。当然,也可利用其它已知的低k ILD材料,事实上,在低k电介质层210的某些方面被牺牲(如本文其它地方讨论的)的示例性实施例中,还可以使用传统的中等k电介质材料(诸如,SiO2、PSG、BPSG、SiOF等)层取代低k电介质层210。在实施例中,各金属互连线205包括诸如钽(Ta)屏障层或TiN屏障层之类的屏障层和诸如铜(Cu)或钨(W)之类的填充金属。
继续进行方法100,在操作130中,在低k ILD中环绕金属互连部蚀刻出沟槽。通常,出于在金属互连线之间的间隔中引入空隙的缘故,操作130必须致使平面镶嵌层成为非平面的。正是这样引入了严格外形,该外形示例性地促使形成本文中描述的高度保形电介质扩散屏障。作为形成这种沟槽的一个示例,图2B示出通过在低k ILD结构上方沉积了硬掩模层220A之后的图2A中示出的集成电路(IC)的横截面的侧视图。硬掩模层220A可以是本领域已知的适于这种目的的任何材料,例如但不限于低k SiN:H、SiC:H、SiOC:H、SiCN:H或SiOCN:H(因为通常通过PECVD沉积硬掩模层220A,所以大量的氢被包含到膜中)。硬掩模层220A的厚度范围可以是约2-50nm并且材料的介电常数(k)的范围可以是4-7。在示例性实施例中,厚度约为8nm并且k约为4.8。值得注意,除了辅助进行图案化之外,硬掩模层220A还用于在构造期间保护金属互连部的顶表面免于被氧化和腐蚀。在示例性实施例中,硬掩模层220A是非牺牲性的,原位保留以使得金属互连部的(Cu)表面钝化并且因此应该具有好的附着性以使机械故障和电迁移故障最少。
继续进行操作130的示例性实现方式。图2C示出沉积附加的掩模层来形成多层掩模并且将多层掩模图案化。在硬掩模层220A上方设置第二硬掩模层220B,诸如(但不限于)非晶碳(α-C:H)、TiN或TaN。在第二硬掩模层220B上方的是任何传统的光致抗蚀剂220C和有机抗反射涂层(ARC)、和/或电介质ARC(DARC)220D,诸如(但不限于)像二氧化硅、氮化硅、掺杂碳的氧化物等的含硅材料。进行图案化,使得在低k电介质层210上方在两条相邻金属互连线(例如,205A和205B)之间的间隔中形成开口225。
图2D完成了操作130的示例性实现方式,其中,在设置于相邻金属互连线205A、205B之间的低k电介质210中蚀刻出了沟槽230。可利用诸如(但不限于)氟化化学品(CF4、C2F6、CF3H等)之类的任何基于等离子体的蚀刻剂来蚀刻出沟槽230,沟槽230从低k电介质层210的顶表面至少部分地延伸穿过(部分牺牲的)低k ILD层210,并且可完全延伸穿过低k电介质层210以暴露出衬底201。当然要理解,还可通过诸如(但不限于)离子铣削和激光消融的任何其它已知技术形成沟槽230。然后,使用本领域已知的干等离子体蚀刻和湿化学品清洗的任何组合(通常,通过在氧等离子体或氢等离子体之后进行湿清洗),去除光致抗蚀剂220C、第二硬掩模层220B(例如TiN)和其它图案化材料。在完成操作130之后,如图2D中所示,低k ILD结构现在包括严格3D外形,其中,金属互连线(例如,Cu)环绕其中已被去除了部分低k电介质层210的嵌入金属互连线205内的沟槽(通孔)向上延伸。在实施例中,沟槽230在示例性实施例中具有至少5:1且大于7:1的高宽比。值得注意地,尽管在示例性实施例中硬掩模层220A保持为非牺牲层,但硬掩模层220A可能由于在蚀刻沟槽230期间进行的拐角倒圆而无法保持完好或者可能通过后续处理而被完全去除。
返回图1,方法100继续进行保形地沉积密闭性电介质扩散屏障。在示例性互连实施例中,图2E中的图示表明,电介质扩散屏障240在沟槽230中形成连续衬垫,跨越相邻金属互连线205A、205B之间的间隔并且同时覆盖硬掩模层220A。
在实施例中,利用ALD工艺来沉积密闭性电介质扩散屏障240的至少一部分。尽管ALD通常是本领域已知的,但在应用中受到因可接受前体的可用性造成的实际约束的限制,所述可接受前体能够进行自限制反应以形成期望组分的膜。因此,虽然某些前体的普遍可用性使得ALD能够变成形成栅电介质层的普及技术,但对于在典型互连隔离能力中采用的电介质材料而言,并非如此。为此原因,将等离子增强ALD(PEALD)(准ALD技术)作为沉积各式各样材料的方式进行研究,但通过这种技术制作的膜被发现仅在厚度大于约16nm时是均匀密闭性的,因此对于电介质扩散屏障而言,准ALD技术并不是特别有利。对于厚度小于5nm的密闭性屏障,只可以用PECVD/PEALD技术,在该技术中,因为在沉积处理或溅射处理期间通过离子轰击造成的膜的致密性,所以膜沉积在完全平坦的表面上。然而,因为这种离子轰击具有高度方向性,所以沉积在离子遮蔽表面(例如,接近垂直表面)上的膜具有较小的密度并且不是密闭性的。在本文描述的示例性实施例中,采用真实的ALD工艺形成厚度小于10nm(更具体地小于8nm,最具体地小于6nm)的密闭性的电介质扩散屏障。因为ALD工艺的高保形度(例如,95%或更大),可以在严格3D外形(extreme 3D topography)上建立具有这些非常小的膜厚度的连续无针孔膜。
在实施例中,在沉积电介质扩散屏障240之前,通过PECVD来沉积电介质材料,以避免可能当直接暴露于ALD前体时而受到不利影响的金属互连线(例如,Cu)的任何所暴露的表面被直接暴露。如果硬掩模220A完全原位并且某个量的低k ILD保留在互连线侧壁上,则互连线的顶表面和衬垫会受到保护。然而,由于瑕疵,导致部分低k ILD可能没有保留在侧壁上并且顶部互连表面会暴露于对硬掩模220进行的拐角剪切、局部蚀刻或完全去除。另外,低k ILD是倾注屏障并且不管怎样互连衬垫(Ta/TaN)都仍有被氧化的可能性。适于此目的的示例性电介质材料包括但不限于SiN:H、SiCN:H、SiC:H、SiCO:H(例如,具有本文别处针对硬掩模层220A描述的介电常数范围)并且可通过PECVD来沉积至优选地小于2nm的厚度,但替代实施例包括将低k互连钝化膜沉积至更大的厚度(例如,4nm至16nm)。
在实施例中,密闭性电介质扩散屏障240的至少一部分是相比于基于硅的PECVD膜具有相对高的介电常数(例如,大于6)的ALD电介质材料。本领域已知的许多这种膜是可用ALD技术形成的并且通常可采用这些膜中的任何膜作为电介质扩散屏障240或作为电介质扩散屏障240的一部分。在示例性实施例中,高k电介质材料是全都具有大大超过10的介电常数的金属氧化物,诸如(但不限于)Al2O3、MgO2和HfO2。在替代实施例中可利用同时与ALD技术相容的诸如,TiO2、TaO2和ZrO2之类的其它过渡金属氧化物。
通过采用真实ALD技术,在操作140期间依次执行自限制反应。例如,可通过顺序地脉动三甲基(TMA)和H2O蒸气,沉积6-10nm的Al2O3作为电介质扩散屏障240,每个前体参与自限制反应,反复地形成非常保形的膜。在比LPCVD工艺中保形地沉积电介质所需的温度低的温度下,这些顺序的自限制反应为电介质扩散赋予了有利的高保形度。另外,有利地,铝原子是与邻近的氧原子形成大的紧密键合,以形成在厚度低于8nm且甚至低于6nm(例如,3-4nm)时能够具有密闭性的致密膜。虽然已通过实验验证了Al2O3的示例性实施例,但预期MgO2和HfO2具有类似的性能,还可预期本领域中已知的其它高k膜具有类似的性能以具有近似的原子密度。因此,在示例性实施例中,利用ALD来实现位于严格3D外形上方甚至厚度在5-10nm的范围内的连续电介质膜,在ALD技术中所采用的物质是形成能够以最小厚度提供密闭性的致密膜的物质,所述最小厚度是在当前外形上方形成物理连续膜所需的厚度。
虽然采用ALD电介质层的实施例当然可包括不止一种类型的电介质材料(例如,不同高k材料的双层),但在示例性实施例中,ALD电介质层与介电常数比ALD材料的介电常数低的不同电介质材料层结合。在一个这样的实施例中,电介质扩散屏障240包括至少一种金属氧化物(诸如,Al2O3、MgO2和HfO2)和介电常数比金属氧化物的介电常数低但比周围的低k电介质层(例如,低k ILD 210)的介电常数高的中等k材料。在示例性实施例中,中等k材料是SiON(C):H。在此实施例中,通过首先通过PECVD沉积SiO(C):H膜然后用包括氮源(例如,NH3、N2中的一种或多种)的等离子体溅射蚀刻该SiO(C):H膜来形成SiON(C):H层,所述等离子体溅射掉非保形沉积材料的部分并且将其重新分配到外形侧壁(例如,沟槽230的侧壁)上。虽然溅射蚀刻使SiOC:H膜致密并且包含形成SiON(C):H的氮,但这种膜自身在离子遮蔽表面上将不是密闭性的,除非超过15nm(例如,16nm和更大厚度)。然而,在ALD膜增强了密闭性并且这个中等k材料的介电常数在4.4-5.5的范围内的情况下,电介质扩散屏障240可具有减小的总电容。
在实施例中,SiON(C):H和ALD电介质形成双层,其中SiON(C):H形成第一层或者ALD电介质形成第一层。在示例性实施例中,双层电介质扩散屏障240包括SiON(C):H的第一层和设置在第一层上的作为第二层的ALD电介质。双层电介质扩散屏障240的示例性厚度在5nm和10nm之间,其中,SiON(C):H在3nm和6nm之间(例如,略大于电介质扩散屏障240的总厚度的一半)。在首先沉积电介质层(例如,SiOC:H)以在形成ALD电介质层之前使金属互连线钝化的一个实施例中,可通过执行基于氮的溅射蚀刻工艺来以钝化电介质层的一部分转换成更致密、更保形的SiON(C):H膜来将钝化电介质层的沉积物转变成电介质扩散屏障240的第一层。
在其它实施例中,电介质扩散屏障240是三层膜叠置体,在该三层膜叠置体中,ALD电介质材料设置在PECVD沉积膜(例如,如双层实施例的情况中一样的SiON(C):H的基体层和SiON(C):H的盖帽层)之间,这样可改善后续操作中沉积的上覆层中的附着和蚀刻分布。
在另一个实施例中,电介质扩散屏障240包括金属氧化物的硅合金。通过ALD形成示例性实施例中的金属氧化物的硅合金(与通过离散沉积的膜叠置体的固态扩散而形成的合金形成对照)。在一个这样的实施例中,至少一种金属氧化物与硅结合地沉积,形成保形金属硅酸盐膜。在这种实施例中,电介质扩散屏障240内所包含的硅的量被选择为范围在1%至90%(原子数)以实现电介质扩散屏障240的介电常数如期望地减小。例如,在金属氧化物是Al2O3的一个实施例中,引入硅来生成Al1-ySiyOx电介质膜。在一个示范性Al1-ySiyOx实施例中,硅含量是至少50%(原子数)以将合金的介电常数减小至低于7,同时在厚度小于15nm(优选地,小于10nm)时仍然提供密闭性密封。例如,在操作140期间,可在变化TMA和SiH4的占空比以实现期望硅含量的情况下执行TMA/H2O/SiH4/H2O的ALD顺序。在替代实施例中,可通过包含其它示例性金属氧化物(例如,MgO2、HfO2等)的类似ALD工艺制作其它金属硅酸盐。在替代实施例中,采用除了硅之外的其它合金物质来减小电介质扩散屏障240的介电常数。例如,可利用B2O3、BeO2、Li2O、Na2O中的任一种或多种。
如同金属氧化物层一样,电介质扩散屏障240中利用的合金化电介质除了包括ALD金属硅酸盐层之外还可包括底PECVD层和/或顶PECVD层。例如,金属硅酸盐层(Al1-ySiyOx)可设置在SiN:H、SiC:H、SiOC:H或SiCN:H膜上或者被SiN:H、SiC:H、SiOC:H或SiCN:H膜覆盖。作为另一个示例,金属硅酸盐层(Al1-ySiyOx)设置在SiN:H、SiC:H、SiOC:H或SiCN:H中的任一个的盖帽层层和基底层之间。
返回图1,方法100继续进行操作150,其中,非保形地沉积低k或中等k(例如,SiO2、PSG、BPSG、SiOF、聚合物等)ILD。在示例性互连实施例中,在操作150中进行这种非保形沉积,从而将在外形特征之间保留的间隔内生成气隙,以相对于图2A中示出的ILD结构减小互连电容。如图2F中所示,由于低k ILD 250的非保形性,导致在沟槽230未被电介质扩散屏障240占据的区域中相邻的金属互连线205A、205B之间形成气隙255。因此,应该清楚,电介质扩散屏障240的厚度减小1个单位(例如,8nm)可使气隙255的宽度增大2个单位(例如,16nm),因此导致电容的净改善(即,减小),即使在电介质扩散屏障240内可一定程度地引入高k材料。
仍然参照图2F,在实施例中,低k ILD 250是介电常数在2.5-3.1的范围内的SiOC:H。在某些其它实施例中,低k ILD 250是介电常数低于约2.5的多孔膜。如本领域中已知的,可采用化学-机械平面化(CMP)工艺来将低k ILD 250的表面平面化。
返回图1,方法100继续进行操作160,在操作160中,用本领域中已知的传统处理和制造技术完成器件。例如,如图2G中所示,在低k ILD 250中设置另外一层的金属互连部260。如所示出的,金属互连部260通过被金属(Cu)填充的通孔结合金属互连部205,所述通孔穿过电介质扩散屏障240和任何保留下来的硬掩模层220。然后,可在第二级金属互连部中形成另外的气隙,基本上如在操作110-150的内容中在本文中别处描述地一样。
图3A至图3B示出根据本发明的实施例的用于对电介质扩散屏障实施例进行实验评价的线状图。收集膜叠置体的应力测量值,所述膜叠置体包括厚吸湿氧化物上方的电介质扩散屏障处理,这样表示了通过晶圆翘曲度测得的与湿气含量相关的应力变化。图3A示出用作湿气扩散屏障(密闭性屏障)的6nm和更厚的Al2O3的ALD层的平坦线趋势。对于小于6nm的厚度,晶圆翘曲度随时间而变化,这表明湿气正在渗透Al2O3层。图3B是SiON(C):H/AlOx双层电介质扩散屏障的线状图。如可看出的,6nm的SiON(C):H层/2nm的AlOx层表现出极小的吸湿度。这表明形成保形屏障所需的高k Al2O3的厚度减小了超过60%,因此可有利地减小电容,同时仍然提供极薄的密闭性屏障(例如,8nm)。作为参考,需要至少14nm的SiON(C)来提供近似的结果。参照硅合金化的金属氧化物扩散屏障(例如,金属硅酸盐)的实施例,尽管在图线中没有明确描绘,但要注意,因为已发现氧含量是氮含量两倍的SiON层以保持与SiN组分等同的密闭性,所以预期的是,其中金属物质的高达50%被Si取代的金属硅酸盐(例如,铝硅酸盐、Al1-ySiyOx、镁硅酸盐(Mg1-ySiyOx)等)膜也将保持对应金属氧化物的密闭性。
图4示出根据本发明的一个实现方式的计算装置1000。计算装置1000容纳板1002。板1002可包括多个组件,包括(但不限于)处理器1004和至少一个通信芯片1006。处理器1004与板1002物理地电结合。在一些实现方式中,至少一个通信芯片1006也与板1002物理地电结合。在其它实现方式中,通信芯片1006是处理器1004的部分。
根据计算装置1000的应用,计算装置1000可包括可或不可与板1002物理地电结合的其它组件。这些其它组件包括(但不限于)易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪存存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位***(GPS)装置、罗盘、加速计、陀螺仪、扬声器、相机和大容量存储装置(诸如,硬盘驱动器、压缩盘(CD)、数字通用盘(DVD)等)。
通信芯片1006能够进行无线通信,传递进出计算装置1000的数据。可使用术语“无线”及其衍生词来描述可通过使用穿过非固态介质的经调制电磁辐射进行数据通信的电路、装置、***、方法、技术、通信信道等。所述术语不是意味着相关装置不包含任何布线,尽管在一些实施例中相关装置可不包含任何布线。通信芯片1006可实现多种无线标准或协议中的任一种,包括(但不限于)Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、Bluetooth(蓝牙)、其衍生物、以及被指定为3G、4G、5G和更高一代的任何其它无线协议。计算装置1000可包括多个通信芯片1006。例如,第一通信芯片1006可专用于诸如Wi-Fi和Bluetooth的较短距离无线通信并且第二通信芯片1006可专用于诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO和其它的较长距离无线通信。
计算装置1000的处理器1004包括被封装在处理器1004内的集成电路晶粒。在本发明的一些实现方式中,处理器的集成电路晶粒包括一个或多个装置,诸如,如本文别处描述的其中设置有电介质扩散屏障的金属互连部。术语“处理器”可指处理来自寄存器和/或存储器的电子数据的任何装置或装置的一部分,用于将电子数据转变成可被存储在寄存器和/或存储器中的其它电子数据。
通信芯片1006还包括被封装在通信芯片1006内的集成电路晶粒。根据本发明的另一个实现方式,通信芯片的集成电路晶粒包括一个或多个器件,诸如,如本文别处描述的其中设置有电介质扩散屏障的金属互连部。
在其它实现方式中,被容纳在计算装置1000内的另一个组件可包含集成电路晶粒,所述集成电路晶粒包括一个或多个器件,诸如,如本文别处描述的其中设置有电介质扩散屏障的金属互连部。
在各种实现方式中,计算装置1000可以是膝上型计算机、网本、笔记本、超级本、智能电话、平板、个人数字助理(PDA)、超移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器或数字录像机。在其它实现方式中,计算装置1000可以是处理数据的任何其它电子装置。
以上的描述是示例性的,并非限制性的。例如,虽然附图中的流程图示出了通过本发明的某些实施例执行的特定次序的操作,但应该理解,并非要求这种次序(例如,替代实施例可按不同次序执行操作,组合某些操作,交叠某些操作等)。此外,在阅读和理解了以上描述后,许多其它实施例对于本领域的技术人员而言是显而易见的。尽管已经参照具体示例性实施例描述了本发明,但应当认识到,本发明不限于描述的实施例,而可以在所附权利要求书的精神和范围内进行修改和变化的情况下实践本发明。因此,应该参照所附权利要求书连同该权利要求书的等同形式的全部范围来确定本发明的范围。

Claims (65)

1.一种集成电路结构,包括:
在衬底之上的第一低k层间电介质(ILD)层中的第一金属互连结构;
在所述第一低k ILD层中的第二金属互连结构,所述第二金属互连结构与所述第一金属互连结构横向相邻并且由所述第一低k ILD层中的沟槽分隔开,所述沟槽具有底部和侧壁;
密闭性电介质扩散屏障层,其在所述第一金属互连结构的部分上方并且沿着所述沟槽的所述底部和侧壁是连续的;
第二低k ILD层,其在所述密闭性电介质扩散屏障层上、沿着所述沟槽的所述底部和侧壁;以及
在所述第二低k ILD层中的气隙,所述气隙具有位于所述第一金属互连结构的所述部分上方的所述密闭性电介质扩散屏障层的顶部之下的顶部。
2.根据权利要求1所述的集成电路结构,还包括:
位于所述密闭性电介质扩散屏障层与所述第一金属互连结构之间的硬掩模层。
3.根据权利要求2所述的集成电路结构,其中,所述硬掩模层不在所述沟槽中。
4.根据权利要求2所述的集成电路结构,其中,所述硬掩模层包括硅、氧和氮。
5.根据权利要求1所述的集成电路结构,其中,所述气隙完全在所述第二低k ILD层内。
6.根据权利要求1所述的集成电路结构,其中,所述第一低k ILD层具有在2.5-3.2的范围内的介电常数。
7.根据权利要求6所述的集成电路结构,其中,所述第一低k ILD层包括硅、氧、碳和氢。
8.根据权利要求1所述的集成电路结构,其中,所述第二低k ILD层具有在2.5-3.1的范围内的介电常数。
9.根据权利要求8所述的集成电路结构,其中,所述第二低k ILD层包括硅、氧、碳和氢。
10.根据权利要求1所述的集成电路结构,其中,所述密闭性电介质扩散屏障层具有比所述第一低k ILD层和所述第二低k ILD层的介电常数大的介电常数。
11.根据权利要求1所述的集成电路结构,其中,所述密闭性电介质扩散屏障层包括高k电介质层。
12.根据权利要求1所述的集成电路结构,其中,所述第一金属互连结构和所述第二金属互连结构包括屏障层和填充金属。
13.根据权利要求1所述的集成电路结构,其中,所述填充金属是铜。
14.一种制造集成电路结构的方法,所述方法包括:
在衬底之上的第一低k层间电介质(ILD)层中形成第一金属互连结构;
在所述第一低k ILD层中形成第二金属互连结构,所述第二金属互连结构与所述第一金属互连结构横向相邻并且由所述第一低k ILD层中的沟槽分隔开,所述沟槽具有底部和侧壁;
在所述第一金属互连结构的部分上方并且沿着所述沟槽的所述底部和侧壁形成密闭性电介质扩散屏障层;
沿着所述沟槽的所述底部和侧壁在所述密闭性电介质扩散屏障层上形成第二低k ILD层;以及
在所述第二低k ILD层中形成气隙,所述气隙具有位于所述第一金属互连结构的所述部分上方的所述密闭性电介质扩散屏障层的顶部之下的顶部。
15.根据权利要求14所述的方法,其中,与所述沟槽共形地沉积所述密闭性电介质扩散屏障层。
16.根据权利要求15所述的方法,其中,与所沟槽非共形地沉积所述第二低k ILD层。
17.根据权利要求14所述的方法,还包括:
在所述第一金属互连结构上方形成硬掩模层,其中,所述密闭性电介质扩散屏障层形成在所述第一金属互连结构上方的所述硬掩模层上方。
18.根据权利要求17所述的方法,其中,所述硬掩模层不在所述沟槽中。
19.根据权利要求17所述的方法,其中,所述硬掩模层包括硅、氧和氮。
20.根据权利要求14所述的方法,其中,所述密闭性电介质扩散屏障层是通过原子层沉积(ALD)形成的。
21.根据权利要求14所述的方法,其中,所述气隙完全在所述第二低k ILD层内。
22.根据权利要求14所述的方法,其中,所述第一低k ILD层具有在2.5-3.2的范围内的介电常数。
23.根据权利要求22所述的方法,其中,所述第一低k ILD层包括硅、氧、碳和氢。
24.根据权利要求14所述的方法,其中,所述第二低k ILD层具有在2.5-3.1的范围内的介电常数。
25.根据权利要求24所述的方法,其中,所述第二低k ILD层包括硅、氧、碳和氢。
26.根据权利要求14所述的方法,其中,所述密闭性电介质扩散屏障层具有比所述第一低k ILD层和所述第二低k ILD层的介电常数大的介电常数。
27.根据权利要求14所述的方法,其中,所述密闭性电介质扩散屏障层包括高k电介质层。
28.根据权利要求14所述的方法,其中,所述第一金属互连结构和所述第二金属互连结构包括屏障层和填充金属。
29.根据权利要求14所述的集成电路结构,其中,所述填充金属是铜。
30.一种集成电路结构,包括:
在衬底之上的第一低k层间电介质(ILD)层中的金属互连结构;
在所述金属互连结构上的硬掩模层;
在所述硬掩模层上的金属氧化物层;
在所述金属氧化物层上的第二低k ILD层;以及
在所述第二低k ILD层中并且穿过所述金属氧化物层和所述硬掩模层中的开口的导电通孔,所述导电通孔在所述金属互连结构上并且电耦合到所述金属互连结构。
31.根据权利要求30所述的集成电路结构,其中,所述金属氧化物层具有比所述硬掩模层的厚度小的厚度。
32.根据权利要求30所述的集成电路结构,其中,所述硬掩模层包括硅、氧和氮。
33.根据权利要求30所述的集成电路结构,其中,所述第一低k ILD层具有在2.5-3.2的范围内的介电常数,并且其中,所述第二低k ILD层具有在2.5-3.1的范围内的介电常数。
34.根据权利要求30所述的集成电路结构,其中,所述金属氧化物层选自由Al2O3、MgO2、HfO2、TiO2、TaO2和ZrO2组成的组。
35.根据权利要求30所述的集成电路结构,其中,所述金属互连结构包括屏障层和填充金属。
36.根据权利要求30所述的集成电路结构,还包括:
在所述第一低k ILD层中的气隙,所述气隙与所述金属互连结构横向相邻。
37.一种制造集成电路结构的方法,所述方法包括:
在衬底之上的第一低k层间电介质(ILD)层中形成金属互连结构;
在所述金属互连结构上形成硬掩模层;
在所述硬掩模层上形成金属氧化物层;
在所述金属氧化物层上形成第二低k ILD层;以及
在所述第二低k ILD层中并且穿过所述金属氧化物层和所述硬掩模层中的开口形成导电通孔,所述导电通孔在所述金属互连结构上并且电耦合到所述金属互连结构。
38.根据权利要求37所述的方法,所述金属氧化物层具有比所述硬掩模层的厚度小的厚度。
39.根据权利要求37所述的方法,其中,所述硬掩模层包括硅、氧和氮。
40.根据权利要求37所述的方法,其中,所述第一低k ILD层具有在2.5-3.2的范围内的介电常数,并且其中,所述第二低k ILD层具有在2.5-3.1的范围内的介电常数。
41.根据权利要求37所述的方法,其中,所述金属氧化物层选自由Al2O3、MgO2、HfO2、TiO2、TaO2和ZrO2组成的组。
42.根据权利要求37所述的方法,其中,所述金属互连结构包括屏障层和填充金属。
43.根据权利要求37所述的方法,其中,所述金属氧化物层是通过原子层沉积(ALD)形成的。
44.一种微电子器件,包括:
在衬底上的低k层间电介质(ILD)材料层;
在所述低k ILD材料层中的沟槽,所述沟槽包括底部、顶部和在所述底部与所述顶部之间的侧壁;以及
连续电介质扩散屏障,其包括位于所述沟槽的所述侧壁上的第二材料层上的第一材料层,其中,所述第一材料层和所述第二材料层之一包括金属物质和氧。
45.根据权利要求44所述的微电子器件,其中,所述连续电介质扩散屏障沉积在所述沟槽的所述底部上。
46.根据权利要求44所述的微电子器件,其中,所述连续电介质扩散屏障沉积在所述沟槽的所述顶部上。
47.根据权利要求44所述的微电子器件,还包括:
位于所述低k ILD材料上的一个或多个互连线。
48.根据权利要求44所述的微电子器件,还包括:
位于所述ILD材料内的一个或多个气隙。
49.根据权利要求44所述的微电子器件,其中,所述第一材料层和所述第二材料层的所述之一包括金属硅酸盐。
50.根据权利要求44所述的微电子器件,其中,所述金属物质包括铝、镁、钛、钽、锆、铪、或其任何组合。
51.根据权利要求44所述的微电子器件,其中,所述第一材料层和所述第二材料层的所述之一具有至少10的介电常数。
52.根据权利要求44所述的微电子器件,其中,所述第一材料层和所述第二材料层的所述之一具有低于8nm的厚度。
53.根据权利要求44所述的微电子器件,其中,所述连续电介质扩散屏障还包括:
位于所述第一材料层和所述第二材料层之一上的第三材料层,所述第三材料层具有的介电常数小于所述第一材料层和所述第二材料层的所述之一的介电常数并且大于所述低kILD材料层的介电常数。
54.根据权利要求44所述的微电子器件,其中,所述连续电介质扩散屏障的厚度小于10nm。
55.一种计算***,包括:
芯片;以及
微电子器件,其包括:
在衬底上的低k层间电介质(ILD)材料层;
在所述低k ILD材料层中的沟槽,所述沟槽包括底部、顶部和在所述底部与所述顶部之间的侧壁;以及
连续电介质扩散屏障,其包括位于所述沟槽的所述侧壁上的第二材料层上的第一材料层,其中,所述第一材料层和所述第二材料层之一包括金属物质和氧。
56.根据权利要求55所述的计算***,其中,所述连续电介质扩散屏障沉积在所述沟槽的所述底部上。
57.根据权利要求55所述的计算***,其中,所述连续电介质扩散屏障沉积在所述沟槽的所述顶部上。
58.根据权利要求55所述的计算***,还包括:
位于所述低k ILD材料上的一个或多个互连线。
59.根据权利要求55所述的计算***,还包括:
位于所述ILD材料内的一个或多个气隙。
60.根据权利要求55所述的计算***,其中,所述第一材料层和所述第二材料层的所述之一包括金属硅酸盐。
61.根据权利要求55所述的计算***,其中,所述金属物质包括铝。
62.根据权利要求55所述的计算***,其中,所述第一材料层和所述第二材料层的所述之一具有至少10的介电常数。
63.根据权利要求55所述的计算***,其中,所述第一材料层和所述第二材料层的所述之一具有低于8nm的厚度。
64.根据权利要求55所述的计算***,其中,所述连续电介质扩散屏障还包括:
位于所述第一材料层和所述第二材料层之一上的第三材料层,所述第三材料层具有的介电常数小于所述第一材料层和所述第二材料层的所述之一的介电常数并且大于所述低kILD材料层的介电常数。
65.根据权利要求55所述的计算***,其中,所述连续电介质扩散屏障的厚度小于10nm。
CN201710356176.4A 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障 Active CN107275309B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710356176.4A CN107275309B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201710356176.4A CN107275309B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障
CN201180076399.6A CN104126220B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障
PCT/US2011/066252 WO2013095396A1 (en) 2011-12-20 2011-12-20 Conformal low temperature hermetic dielectric diffusion barriers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180076399.6A Division CN104126220B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障

Publications (2)

Publication Number Publication Date
CN107275309A true CN107275309A (zh) 2017-10-20
CN107275309B CN107275309B (zh) 2021-02-09

Family

ID=48669055

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710356176.4A Active CN107275309B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障
CN201180076399.6A Active CN104126220B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201180076399.6A Active CN104126220B (zh) 2011-12-20 2011-12-20 保形低温密闭性电介质扩散屏障

Country Status (4)

Country Link
US (10) US9330963B2 (zh)
KR (7) KR20170124621A (zh)
CN (2) CN107275309B (zh)
WO (1) WO2013095396A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109920729A (zh) * 2019-03-27 2019-06-21 合肥鑫晟光电科技有限公司 一种显示基板的制备方法、显示装置
WO2022048212A1 (zh) * 2020-09-02 2022-03-10 长鑫存储技术有限公司 半导体器件及其制造方法

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9258907B2 (en) 2012-08-09 2016-02-09 Lockheed Martin Corporation Conformal 3D non-planar multi-layer circuitry
KR20140032238A (ko) * 2012-09-06 2014-03-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8772745B1 (en) 2013-03-14 2014-07-08 Lockheed Martin Corporation X-ray obscuration film and related techniques
US10269634B2 (en) 2013-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having voids and method of forming same
US9659857B2 (en) * 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9263389B2 (en) * 2014-05-14 2016-02-16 International Business Machines Corporation Enhancing barrier in air gap technology
US10163792B2 (en) * 2014-07-28 2018-12-25 Qualcomm Incorporated Semiconductor device having an airgap defined at least partially by a protective structure
US10123410B2 (en) 2014-10-10 2018-11-06 Lockheed Martin Corporation Fine line 3D non-planar conforming circuit
US9305836B1 (en) * 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
CN105655486B (zh) * 2014-11-18 2018-03-30 中芯国际集成电路制造(上海)有限公司 相变存储器及其形成方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN106033741B (zh) * 2015-03-20 2020-09-15 联华电子股份有限公司 金属内连线结构及其制作方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US9530890B1 (en) * 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US9728447B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
CN106941091B (zh) * 2016-01-05 2021-03-05 联华电子股份有限公司 内连线结构、内连线布局结构及其制作方法
CN105489486B (zh) * 2016-01-18 2018-08-10 青岛大学 一种基于超薄氧化镁高k介电层薄膜晶体管的制备方法
US10014401B2 (en) * 2016-01-25 2018-07-03 Electronics And Telecommunications Research Institute Semiconductor device with passivation layer for control of leakage current
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10504915B2 (en) * 2016-03-03 2019-12-10 Toshiba Memory Corporation Integrated circuit device having an air gap between interconnects and method for manufacturing the same
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
WO2017171817A1 (en) * 2016-03-31 2017-10-05 Intel Corporation Flowable dielectrics from vapor phase precursors
US20170365504A1 (en) * 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
KR102482369B1 (ko) 2016-07-06 2022-12-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9735103B1 (en) * 2016-07-20 2017-08-15 International Business Machines Corporation Electrical antifuse having airgap or solid core
US9793207B1 (en) * 2016-07-20 2017-10-17 International Business Machines Corporation Electrical antifuse including phase change material
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
KR20180031900A (ko) * 2016-09-20 2018-03-29 삼성전자주식회사 에어 갭을 포함하는 반도체 소자
CN108122820B (zh) * 2016-11-29 2020-06-02 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
WO2018125098A1 (en) * 2016-12-28 2018-07-05 Intel Corporation Pitch quartered three-dimensional air gaps
KR102416568B1 (ko) 2017-08-14 2022-07-04 삼성디스플레이 주식회사 금속 산화막 형성 방법 및 플라즈마 강화 화학기상증착 장치
US10134580B1 (en) 2017-08-15 2018-11-20 Globalfoundries Inc. Metallization levels and methods of making thereof
US10290739B2 (en) 2017-09-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
CN108321118B (zh) * 2018-04-04 2023-10-13 长鑫存储技术有限公司 导电层间介质空洞的制备方法和半导体器件
US11205700B2 (en) * 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US10937892B2 (en) 2018-09-11 2021-03-02 International Business Machines Corporation Nano multilayer carbon-rich low-k spacer
CN110957261B (zh) * 2018-09-26 2022-11-01 长鑫存储技术有限公司 一种半导体器件互连结构阻挡层的制备方法
US20200203271A1 (en) * 2018-12-21 2020-06-25 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Interconnect structure and method for manufacturing the same
EP3891810B1 (en) * 2019-03-18 2023-10-04 Yangtze Memory Technologies Co., Ltd. High-k dielectric layer in three-dimensional memory devices and methods for forming the same
KR20210007862A (ko) * 2019-07-09 2021-01-20 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법
US11444243B2 (en) 2019-10-28 2022-09-13 Micron Technology, Inc. Electronic devices comprising metal oxide materials and related methods and systems
JP2023504743A (ja) * 2019-12-09 2023-02-06 インテグリス・インコーポレーテッド 複数の障壁材料から作製される拡散障壁、並びに関連する物品及び方法
US20220406704A1 (en) * 2021-06-22 2022-12-22 International Business Machines Corporation Subtractive metal etch with improved isolation for beol interconnect and cross point
US11545548B1 (en) * 2021-06-29 2023-01-03 Globalfoundries U.S. Inc. Gate contacts with airgap isolation
CN113793852A (zh) * 2021-09-15 2021-12-14 长江存储科技有限责任公司 自对准图形工艺方法及金属互连结构

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US20010040267A1 (en) * 1997-01-03 2001-11-15 Chuen-Der Lien Semiconductor integrated circuit with an insulation structure having reduced permittivity
US20020016058A1 (en) * 2000-06-15 2002-02-07 Bin Zhao Microelectronic air-gap structures and methods of forming the same
US20060088975A1 (en) * 2004-10-25 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device and semiconductor device
CN101483171A (zh) * 2008-01-09 2009-07-15 国际商业机器公司 具有能图案化低k材料的含空气隙的互连结构体及其制法
US20100055898A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
US20100093168A1 (en) * 2008-10-10 2010-04-15 Mehul Naik Air gap interconnects using carbon-based films
US20100130001A1 (en) * 2008-10-28 2010-05-27 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US20110108992A1 (en) * 2009-11-10 2011-05-12 International Business Machines Corporation Air gap interconnect structures and methods for forming the same

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6084297A (en) 1998-09-03 2000-07-04 Micron Technology, Inc. Cavity ball grid array apparatus
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6664186B1 (en) * 2000-09-29 2003-12-16 International Business Machines Corporation Method of film deposition, and fabrication of structures
US6537923B1 (en) * 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US7019399B2 (en) * 2001-01-22 2006-03-28 N.V. Bekaert S.A. Copper diffusion barriers made of diamond-like nanocomposits doped with metals
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US6992344B2 (en) * 2002-12-13 2006-01-31 International Business Machines Corporation Damascene integration scheme for developing metal-insulator-metal capacitors
US20040119163A1 (en) * 2002-12-23 2004-06-24 Lawrence Wong Method of making semiconductor devices using carbon nitride, a low-dielectric-constant hard mask and/or etch stop
JP4028393B2 (ja) * 2003-01-09 2007-12-26 株式会社東芝 半導体装置およびその製造方法
US7187081B2 (en) * 2003-01-29 2007-03-06 International Business Machines Corporation Polycarbosilane buried etch stops in interconnect structures
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
KR100743745B1 (ko) * 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US7041571B2 (en) * 2004-03-01 2006-05-09 International Business Machines Corporation Air gap interconnect structure and method of manufacture
US7119440B2 (en) * 2004-03-30 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Back end IC wiring with improved electro-migration resistance
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
DE102004021261B4 (de) * 2004-04-30 2007-03-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Hybrid-Metallisierungsschichtstapel für eine verbesserte mechanische Festigkeit während und nach dem Einbringen in ein Gehäuse
US7271093B2 (en) * 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7704873B1 (en) * 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US20060199386A1 (en) * 2004-12-27 2006-09-07 Jim-Jey Huang Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
US7301236B2 (en) * 2005-10-18 2007-11-27 International Business Machines Corporation Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via
US20070096226A1 (en) * 2005-10-31 2007-05-03 Chun-Li Liu MOSFET dielectric including a diffusion barrier
US20070155161A1 (en) * 2005-12-30 2007-07-05 Ramachandrarao Vijayakumar S Selective removal of sacrificial light absorbing material over porous dielectric
US7435676B2 (en) * 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US7994046B2 (en) * 2006-01-27 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US7816253B2 (en) * 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7759241B2 (en) * 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP4451457B2 (ja) * 2007-02-26 2010-04-14 富士通株式会社 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
JP2009088267A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜方法、成膜装置、記憶媒体及び半導体装置
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
KR101231019B1 (ko) * 2007-12-18 2013-02-07 양병춘 집적회로장치 제조방법
US7812424B2 (en) * 2007-12-21 2010-10-12 Infineon Technologies Ag Moisture barrier capacitors in semiconductor components
US7943480B2 (en) 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure
US7737052B2 (en) * 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US8418326B2 (en) * 2008-04-14 2013-04-16 Ykk Corporation Metallic one-side teeth and two-way slide fastener
US8013446B2 (en) * 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
JP5304536B2 (ja) * 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8232196B2 (en) * 2009-10-29 2012-07-31 International Business Machines Corporation Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
GB0919714D0 (en) * 2009-11-11 2009-12-30 Gamesman Ltd Topper for an entertainment machine
US8274116B2 (en) * 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102110658B (zh) * 2009-12-29 2013-07-17 中芯国际集成电路制造(上海)有限公司 双位快闪存储器的制作方法
KR20110119399A (ko) * 2010-04-27 2011-11-02 삼성전자주식회사 반도체 소자의 제조장치 및 이를 이용한 반도체 소자의 제조방법
US8373271B2 (en) * 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US8575019B2 (en) * 2010-09-30 2013-11-05 Institute of Microelectronics, Chinese Academy of Sciences Metal interconnection structure and method for forming metal interlayer via and metal interconnection line
US8629559B2 (en) * 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
CN104412376B (zh) * 2012-07-17 2017-02-08 三井化学株式会社 半导体装置及其制造方法及冲洗液
US20140042627A1 (en) * 2012-08-09 2014-02-13 International Business Machines Corporation Electronic structure containing a via array as a physical unclonable function
US9059254B2 (en) * 2012-09-06 2015-06-16 International Business Machines Corporation Overlay-tolerant via mask and reactive ion etch (RIE) technique
US9082770B2 (en) * 2012-10-24 2015-07-14 Taiwan Semiconductor Manufacturing Company Limited Damascene gap structure
US8772938B2 (en) * 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US8847396B2 (en) * 2013-01-18 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit and fabricating the same
KR101968351B1 (ko) * 2013-01-28 2019-08-13 서울대학교산학협력단 반도체 장치 및 그 제조 방법
JP5813682B2 (ja) * 2013-03-08 2015-11-17 株式会社東芝 半導体装置及びその製造方法
US9443796B2 (en) * 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
KR102059863B1 (ko) * 2013-08-30 2019-12-30 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20150069608A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Through-silicon via structure and method for improving beol dielectric performance
US9165824B2 (en) * 2013-09-27 2015-10-20 Intel Corporation Interconnects with fully clad lines
US20150091172A1 (en) * 2013-10-01 2015-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Pore sealing techniques for porous low-k dielectric interconnect
EP3809451A1 (en) * 2013-11-08 2021-04-21 Renesas Electronics Corporation Semiconductor device
US9299607B2 (en) * 2014-02-13 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact critical dimension control
US9385068B2 (en) * 2014-03-05 2016-07-05 Northrop Grumman Systems Corporation Stacked interconnect structure and method of making the same
US9305837B2 (en) * 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
TWI548030B (zh) * 2014-04-15 2016-09-01 矽品精密工業股份有限公司 導電盲孔結構及其製法
US20160049370A1 (en) * 2014-08-12 2016-02-18 Globalfoundries Inc. Methods of forming mis contact structures for semiconductor devices by selective deposition of insulating material and the resulting devices
US9362239B2 (en) * 2014-10-21 2016-06-07 Globalfoundries Inc. Vertical breakdown protection layer
FR3042067A1 (fr) * 2015-10-01 2017-04-07 Stmicroelectronics Rousset Protection contre le claquage premature de dielectriques poreux interlignes au sein d'un circuit integre
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
US10242932B2 (en) * 2016-06-24 2019-03-26 Infineon Technologies Ag LDMOS transistor and method
US10050139B2 (en) * 2016-06-24 2018-08-14 Infineon Technologies Ag Semiconductor device including a LDMOS transistor and method
US10304725B2 (en) * 2016-08-26 2019-05-28 Tokyo Electron Limited Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US10020270B2 (en) * 2016-09-29 2018-07-10 Infineon Technologies Ag Semiconductor device including a LDMOS transistor, monolithic microwave integrated circuit and method
US10354955B2 (en) * 2017-06-19 2019-07-16 Qualcomm Incorporated Graphene as interlayer dielectric
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
US11705395B2 (en) * 2018-06-25 2023-07-18 Intel Corporation Core fill to reduce dishing and metal pillar fill to increase metal density of interconnects

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010040267A1 (en) * 1997-01-03 2001-11-15 Chuen-Der Lien Semiconductor integrated circuit with an insulation structure having reduced permittivity
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US20020016058A1 (en) * 2000-06-15 2002-02-07 Bin Zhao Microelectronic air-gap structures and methods of forming the same
US20060088975A1 (en) * 2004-10-25 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device and semiconductor device
CN101483171A (zh) * 2008-01-09 2009-07-15 国际商业机器公司 具有能图案化低k材料的含空气隙的互连结构体及其制法
US20100055898A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
US20100093168A1 (en) * 2008-10-10 2010-04-15 Mehul Naik Air gap interconnects using carbon-based films
US20100130001A1 (en) * 2008-10-28 2010-05-27 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US20110108992A1 (en) * 2009-11-10 2011-05-12 International Business Machines Corporation Air gap interconnect structures and methods for forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109920729A (zh) * 2019-03-27 2019-06-21 合肥鑫晟光电科技有限公司 一种显示基板的制备方法、显示装置
WO2022048212A1 (zh) * 2020-09-02 2022-03-10 长鑫存储技术有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
KR20180120812A (ko) 2018-11-06
US20220344201A1 (en) 2022-10-27
US11670545B2 (en) 2023-06-06
KR20170124621A (ko) 2017-11-10
KR20200043526A (ko) 2020-04-27
US9935002B2 (en) 2018-04-03
US20130292835A1 (en) 2013-11-07
US20200105588A1 (en) 2020-04-02
KR20140097519A (ko) 2014-08-06
US20200357687A1 (en) 2020-11-12
KR20170002668A (ko) 2017-01-06
US11587827B2 (en) 2023-02-21
KR20190115123A (ko) 2019-10-10
US10763161B2 (en) 2020-09-01
US20230260833A1 (en) 2023-08-17
US11251076B2 (en) 2022-02-15
KR20200029638A (ko) 2020-03-18
US20180218940A1 (en) 2018-08-02
CN104126220A (zh) 2014-10-29
WO2013095396A1 (en) 2013-06-27
KR102090210B1 (ko) 2020-03-17
US20190371658A1 (en) 2019-12-05
US10438844B2 (en) 2019-10-08
US9754821B2 (en) 2017-09-05
KR101690392B1 (ko) 2016-12-27
US20170372947A1 (en) 2017-12-28
KR102073176B1 (ko) 2020-02-05
US20160247715A1 (en) 2016-08-25
CN104126220B (zh) 2017-06-20
US9330963B2 (en) 2016-05-03
US10529619B2 (en) 2020-01-07
US20220122881A1 (en) 2022-04-21
CN107275309B (zh) 2021-02-09

Similar Documents

Publication Publication Date Title
CN104126220B (zh) 保形低温密闭性电介质扩散屏障
CN106935544B (zh) 半导体装置与其形成方法
KR101619682B1 (ko) 후드층을 갖는 에어갭 인터커넥트 및 그 형성 방법
TWI703633B (zh) 電子裝置和用以製造電子裝置、用以提供無遮罩氣隙流程、及用以提供替代層間介電質流程的方法
CN110120381A (zh) 包括通路插塞的半导体器件
CN107658289A (zh) 半导体器件及其制造方法
CN106952869A (zh) 半导体装置及其制造方法和使用电脑设计其布局的方法
US10763160B1 (en) Semiconductor device with selective insulator for improved capacitance
US20210090938A1 (en) Interconnects having air gap spacers
US11615983B2 (en) Semiconductor structure and method for forming the same
US11456210B2 (en) Integrated circuit and method for manufacturing the same
TWI839856B (zh) 半導體裝置及其形成方法
TW202239028A (zh) 半導體裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant