CN107134785A - A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion - Google Patents

A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion Download PDF

Info

Publication number
CN107134785A
CN107134785A CN201710442130.4A CN201710442130A CN107134785A CN 107134785 A CN107134785 A CN 107134785A CN 201710442130 A CN201710442130 A CN 201710442130A CN 107134785 A CN107134785 A CN 107134785A
Authority
CN
China
Prior art keywords
mrow
msub
msubsup
formula
model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710442130.4A
Other languages
Chinese (zh)
Other versions
CN107134785B (en
Inventor
赵博石
胡泽春
周前
张宁宇
徐珂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Electric Power Research Institute of State Grid Jiangsu Electric Power Co Ltd
Original Assignee
Tsinghua University
Electric Power Research Institute of State Grid Jiangsu Electric Power Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University, Electric Power Research Institute of State Grid Jiangsu Electric Power Co Ltd filed Critical Tsinghua University
Priority to CN201710442130.4A priority Critical patent/CN107134785B/en
Publication of CN107134785A publication Critical patent/CN107134785A/en
Application granted granted Critical
Publication of CN107134785B publication Critical patent/CN107134785B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • H02J3/12Circuit arrangements for ac mains or ac distribution networks for adjusting voltage in ac networks by changing a characteristic of the network load
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • H02J3/12Circuit arrangements for ac mains or ac distribution networks for adjusting voltage in ac networks by changing a characteristic of the network load
    • H02J3/16Circuit arrangements for ac mains or ac distribution networks for adjusting voltage in ac networks by changing a characteristic of the network load by adjustment of reactive power
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J2203/00Indexing scheme relating to details of circuit arrangements for AC mains or AC distribution networks
    • H02J2203/20Simulating, e g planning, reliability check, modelling or computer assisted design [CAD]
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • H02J3/38Arrangements for parallely feeding a single network by two or more generators, converters or transformers
    • H02J3/388Islanding, i.e. disconnection of local power supply from the network
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E40/00Technologies for an efficient electrical power generation, transmission or distribution
    • Y02E40/30Reactive power compensation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Supply And Distribution Of Alternating Current (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)

Abstract

The present invention proposes a kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion, belongs to power system security stable operation technical field.This method sets up Optimized model to power system first, and Optimized model then is decomposed into primal problem model and subproblem model;To primal problem model solution, line disconnection, shunt capacitor, the switching and voltage magnitude of reactor, the decision variable of generator reactive power are determined;According to the solving result of primal problem model, line disconnection is carried out, and judge whether system produces isolated island;If not forming isolated island, the solving result of primal problem model is substituted into subproblem model solution so that solving result meets AC power flow feasibility requirement.Participate in voltage control present invention introduces Topological expansion, enhance the voltage control approach of power transmission network, efficiently solve power transmission network voltage it is higher when each control variable coordination optimization control problem, preferably ensured the safe and stable operation of power system.

Description

A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion
Technical field
It is specifically a kind of to consider that network structure is excellent the present invention relates to the technical field of power system security stable operation The power transmission network voltage of change coordinates control optimization method.
Background technology
With urban distribution network internal structure change, part throttle characteristics constantly changes, and these factors are special to the reactive voltage of power network Property has an immense impact on.And urban distribution network has height by electric ratio, the characteristics of weak mains are supported, in special running status or event Under barrier state, the voltage security of urban distribution network is stable by serious threat.
The problem of voltage during load valley of urban distribution network is higher out-of-limit is one occurred at present than more prominent Problem, the reason for causing this problem includes:(1) the peak load gap of bulk power grid is excessive;(2) direct current low power run;(3) Cable is more to cause idle surplus;(4) dynamic reactive under-reserve, reactive power source support shortage etc..
Synchronous generator is most important dynamic reactive source in power system, mainly passes through regulation in current power system The node voltage that the idle output and absorption of synchronous generator come in control system.But according to East China urban power network The operating statistic data of synchronous generator reactive power between low-load period, although unit has reached the upper of absorbing reactive power ability Limit, the problem of power network interior joint voltage is higher is still present.It can be said that traditional idle work optimization method and voltage control technology are Through requirement can not be met.
A kind of progressive reactive Voltage Optimum scheduling controlling of Chinese invention patent (application number 201510530930.2) sequential Method, from a few days ago optimization, in a few days amendment, it is progressive to the sequential controlled in real time again;Consider that the dynamic of system is special in optimization process Property, load is that monotonicity is segmented by variation tendency, and different subsection optimization object functions are different, has taken into account the economy and peace of system Quan Xing.The solution of multi-period lotus root conjunction is carried out using the prim al- dual interior point m ethod based on nonlinear complementarity simultaneously.It is former right that this method is used Even interior point method, it is impossible to ensure the Global Optimality of control result, and still can not by the means of Topological expansion The problem of voltage is higher between solution power transmission network low-load period.
A kind of reactive voltage distributed optimization control system of Chinese invention patent (application number 201510532996.5) and side Method, by the coordination between the main website of allotment center and the substation of individual transformer station, can realize main, electric distribution network reactive-voltage layering Distributed AC servo system, it can be ensured that the low-pressure side voltage qualification rate of all distribution transformers, realizes idle in-situ balancing and layering Balance.The problem of this method solves reactive power/voltage control in a distributed manner, does not proceed from the situation as a whole to carry out the coordination of system voltage Optimal control.
Industrial practice and theory analysis show, are solved by way of circuit is cut-off between low-load period and changes power network topology The problem of overtension, be a kind of actual and effective voltage control strategy.At present, some electrical power company can be attempted in underload System voltage level is reduced by cut-offfing circuit in the case of period, overtension outstanding problem, but this operation is current Only it is to be carried out by the experience of Utilities Electric Co., without clear and definite guide for method, it is impossible to obtain the knot of a more reasonably optimizing Really, it is possible that cut-offfing multi-line still not yet reaches voltage-controlled target, the reliability of system is compromised on the contrary.
The content of the invention
The purpose of the present invention is to overcome the weak point of prior art, propose a kind of transmission of electricity for considering Topological expansion Net voltage coordinating and optimizing control method.Voltage control is participated in present invention introduces Topological expansion, the voltage of power transmission network is enhanced Control device, efficiently solve power transmission network voltage it is higher when each control variable coordination optimization control problem, preferably protect The safe and stable operation of power system is hindered.
A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion proposed by the present invention, its feature exists In comprising the following steps:
1) Optimized model is set up to the power system for needing to carry out voltage coordination optimization control;Comprise the following steps that:
The object function of Optimized model 1-1) is determined, shown in expression formula such as formula (1):
Formula (1) is the minimum to voltage out-of-limit slack,WithIt is node i voltage magnitude more lower limit and more respectively The slack of the upper limit,WithIt is nonnegative number;β and χ are voltage more lower limit slack and the more power of upper limit slack respectively Repeated factor;nbFor system node number;
1-2) constraints of Optimized model includes:
1-2-1) voltage out-of-limit slack is constrained, shown in expression formula such as formula (2), (3):
In formula, ViIt is node i voltage magnitude,WithIt is the lower and upper limit of node i voltage magnitude respectively;
1-2-2) AC power flow voltage is constrained, shown in expression formula such as formula (4), (5):
In formula, PGiAnd PDiThe respectively active power of node i generator and load;QGiAnd QDiRespectively node i generator With the reactive power of load;zijIt is 0/1 variable of the line disconnection between node i and j:Work as zijConnection is represented when=1, Do not cut-off;Work as zijLine disconnection is represented when=0;GijAnd BijIt is the real part and void of element i-j in bus admittance matrix respectively Portion;BijRepresent circuit i-j charging capacitor;θijIt is the phase difference of voltage between node i-j;
1-2-3) generated power, the constraint of reactive power bound, shown in expression formula such as formula (6), (7):
Generator active power carries out a range of adjustment in the vicinity of economical operation with coefficient ε, and 0≤ε≤0.1 is adjusted Whole scope is in generator active power boundWithWithin;WithIt is the upper of generator reactive power respectively Lower limit;
The constraint of the number of lines upper limit 1-2-4) is cut-off, shown in expression formula such as formula (8):
In formula, SN is the upper limit of the line disconnection number of setting, nlThe sum of circuit in expression system;
1-2-5) backlog is constrained, shown in expression formula such as formula (9), (10):
In formula, SijIt is the amplitude of circuit i-j apparent energy, PijAnd QijIt is active power on circuit i-j respectively and idle Power;
2) to step 1) set up Optimized model decompose;Optimized model is decomposed into one using Benders decomposition methods The individual linear optimization model containing integer variable and a Non-linear Optimal Model for being free of integer variable, respectively as Primal problem model and subproblem model that Benders decomposition methods are solved;Comprise the following steps that:
2-1) set up primal problem model;
Under low load condition, voltage phase angle is sufficiently small between being approximately considered node, shown in equivalent expression such as formula (11):
cosθij=1 (11)
Under low load condition, voltage magnitude carries out Thailand close to perunit value 1 to the quadratic term of voltage in formula (4) and (5) Expansion is strangled, shown in equivalent expression such as formula (12), (13):
Vi 2=2Vi-1 (12)
ViVj=Vi+Vj-1 (13)
Under low load condition, the object function of primal problem model and step 1) object function one of Optimized model set up Cause, be the minimum that voltage magnitude deviates constraint, shown in expression formula such as formula (14):
The constraints of primal problem model includes:
Voltage out-of-limit slack is constrained, shown in expression formula such as formula (15) and (16):
The branch road of linearisation is idle and the equality constraint of branch road charging capacitor, shown in expression formula such as formula (17) and (18):
Qij=(Vi-Vj)Bijzij (17)
In formulaFor branch road i-j node i charge power;
The idle charge power constraint of switched capacitor and reactor, shown in expression formula such as formula (19) and (20):
Q in formulaCi,nAnd QLi,nThe respectively reactive power of the injection of switched capacitor and reactor;WithIt is respectively every The susceptance value of group capacitor and reactor;zCi,nAnd zLi,nRespectively capacitor and reactor whether 0/1 variable of switching;
Node reactive balance is constrained, shown in expression formula such as formula (21):
Generator reactive power bound is constrained, shown in expression formula such as formula (22):
The constraint of the number of lines upper limit is cut-off, shown in expression formula such as formula (23):
2-2) set up subproblem model;
The object function of subproblem model, is expressed as shown in formula (24):
In formula, η is the target function value of subproblem model, nPQFor the quantity of PQ nodes in system,WithPoint It is not PQ node is voltage magnitude more lower limit and the more slack of the upper limit;
The constraints of subproblem model includes:
Voltage out-of-limit slack is constrained, shown in expression formula such as formula (25), (26):
0/1 variable of line disconnection and the voltage magnitude variable of PV node are transmitted to subproblem, corresponding equation by primal problem The Lagrange multiplier of constraint, which is used for being formed, to be fed back to the Benders of primal problem and cuts, constraint expression formula such as formula (27), (28) institute Show:
In formula,With0/1 value and the voltage magnitude of PV node for the line disconnection that respectively primal problem model is tried to achieve, Constant is used as in subproblem model;WithThe respectively Lagrange of (28) two equality constraints of corresponding (27) and formula Multiplier;
PV node carries out a certain degree of adjustment from primal problem model to subproblem model to meet the feasible of AC power flow Property, shown in constraint expression formula such as formula (29):
In formula, γ is adjustable coefficient;
AC power flow is constrained, shown in expression formula such as formula (30) and (31):
Generated power reactive power bound is constrained, shown in expression formula such as formula (32) and (33):
Branch Power Flow obstruction constraint, shown in expression formula such as formula (34) and (35):
3) to primal problem model solution, the solving result of primal problem model is obtained, line disconnection is determined, shunt capacitor, The switching and voltage magnitude of reactor, the decision variable of generator reactive power obtain line disconnection set;
4) according to the solving result of primal problem model, corresponding line disconnection is carried out, and judge whether system produces isolated island;
Comprise the following steps that:
4-1) first according to the former network and step 3 before cut-offfing) the line disconnection set that determines sets up node-branch road square Battle array;
4-2) since node 1, the node being connected with the formation of node 1 is searched for by matrix, and searches further for connecting this The node of a little nodes, continuous spreading range, until search completes all to form the node being connected with the topology of node 1;
After the completion of 4-3) searching for, check whether node includes all nodes in system:If including illustrating not formed Isolated island, inspection is finished, into step 5), by step 3) solving result of primal problem model substitutes into subproblem model solution;If Do not include, then illustrate that system occurs division or generates isolated island after line disconnection, the set of line disconnection is infeasible, is entered Step 4-4);
Constraint can not be simultaneously switched off by 4-4) setting up line disconnection set, shown in expression formula such as formula (36):
K represents step 3 in formula) obtained line disconnection set, zkRepresent 0/1 variable of line disconnection in set;By formula (36) in the constraint for being added to primal problem model, step 3 is returned to) to primal problem model solution;
5) by step 3) the obtained solving result of primal problem model substitutes into step 2-2) the subproblem model set up carries out Solve, judge whether the solving result of primal problem model meets the AC power flow feasibility requirement of subproblem model setting, i.e., it is sub Whether the target function value of problem model is less than the threshold value of setting, shown in expression formula such as formula (37):
η≤κ (37)
In formula, κ is the threshold value of setting;
If the target function value η of subproblem model meets formula (37), solve and complete, the solving result of primal problem model is Control strategy is coordinated and optimized for the voltage of the power system;Otherwise, the Benders to primal problem model feedback as shown in formula (38) Cut, return to step 3) to primal problem model solution;
The features of the present invention and beneficial effect are:
1) to be directed to the voltage that existing urban distribution network faces during load valley higher for method proposed by the invention The problem of this becomes increasingly conspicuous, in the case where current reactive power/voltage control means regulating power is not enough, determining for line disconnection Plan is introduced among optimization problem, is used as voltage-controlled new tool, it is not necessary to which extra increase builds standby, and system electricity can be substantially improved Press the ability of optimal control.
2) present invention proposes the optimization method decomposed based on Benders, using ripe optimization software effectively, accurately Ground solves original non-linear mixed integer optimization model realization.The interior point method used in patent is relatively had, the present invention is made Algorithm has bigger possibility to obtain more excellent solution.
Brief description of the drawings
Fig. 1 is the FB(flow block) of the inventive method.
Embodiment
A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion proposed by the present invention, with reference to That the present invention is described in more detail is as follows for the drawings and specific embodiments.
A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion proposed by the present invention, passes through transmission of electricity The control targe of the higher problem of voltage between power network low-load period is realized in web frame optimization.The Topological expansion is meant that Refer to by cut-offfing or closing transmission line of electricity present in power transmission network, change network topology, and then reach more preferable system fortune Row target.This method establishes and controls the mixed of variable comprising what Topological expansion, generator reactive power, active power were adjusted Integral nonlinear Optimized model is closed, and is the linear of MIXED INTEGER variable by the model decomposition using Benders decomposition methods Change model as primal problem, and a non-linearization model without integer variable and be used as subproblem.Primal problem provides circuit and opened Disconnected topological optimization result, carries out the verification of AC power flow feasibility in subproblem, and feeds back Benders and cut to primal problem, Iterative cycles are solved.The method for avoiding isolated island to produce in Topological expansion is it is also proposed simultaneously.This method overall flow is such as Shown in Fig. 1, comprise the following steps:
1) Optimized model is set up to the power system for needing to carry out voltage coordination optimization control;Comprise the following steps that:
The object function of Optimized model 1-1) is determined, shown in expression formula such as formula (1):
Formula (1) is the minimum to voltage out-of-limit slack,WithIt is node i voltage magnitude more lower limit and more respectively The slack of the upper limit,WithIt is nonnegative number;β and χ are voltage more lower limit slack and the more power of upper limit slack respectively Repeated factor, weight factor can use 0-1 value, and 1 can be taken as in general optimization;nbFor system node number.
1-2) constraints of Optimized model includes:
1-2-1) voltage out-of-limit slack is constrained, and expression formula such as formula (2), (3) are represented:
In formula, ViIt is node i voltage magnitude;WithIt is the lower and upper limit of node i voltage magnitude respectively;
1-2-2) AC power flow voltage is constrained, and expression formula such as formula (4), (5) are represented:
In formula, PGiAnd PDiThe respectively active power of node i generator and load;QGiAnd QDiRespectively node i generator With the reactive power of load;zijIt is 0/1 variable of the line disconnection between node i and j, is the integer for optimizing network structure Variable:Work as zijConnection is represented when=1, is not cut-off;Work as zijLine disconnection is represented when=0;GijAnd BijIt is that node is led respectively Receive the real and imaginary parts of element i-j in matrix;BijRepresent circuit i-j charging capacitor;θijIt is the voltage phase angle between node i-j Difference.
1-2-3) generated power, the constraint of reactive power bound, shown in expression formula such as formula (6), (7):
Generator active power can be in the vicinity of economical operation with a range of adjustment of coefficient ε progress, and coefficient ε can root It is 0-0.1 according to the requirement span of economic load dispatching, but adjusting range is also in generator active power boundWith Within,WithIt is the bound of generator reactive power respectively.
The constraint of the number of lines upper limit 1-2-4) is cut-off, shown in expression formula such as formula (8):
In formula, SN is the upper limit of the line disconnection number of setting, can carry out value according to the reliability requirement of system, typically Within 5% that system line sum should be taken as, nlThe sum of circuit in expression system.
1-2-5) backlog is constrained;Shown in expression formula such as formula (9), (10):
In formula, SijIt is the amplitude of circuit i-j apparent energy, PijAnd QijIt is active power on circuit i-j respectively and idle Power.
2) to step 1) set up Optimized model decompose;It is one by model decomposition using Benders decomposition methods to contain There are the linear optimization model and a Non-linear Optimal Model for being free of integer variable of integer variable, respectively as Benders points Primal problem model and subproblem that solution is solved.
Step 1) set up Optimized model be a large-scale non-linear mixed integer optimization model, solution difficulty very Greatly.Therefore propose that using Benders decomposition methods be main and sub two problems by the model decomposition.Comprise the following steps that:
2-1) set up primal problem model;The entitled linear optimization problem of examination in chief, only considers the voltage magnitude and nothing of system Work(.In the underload period, the quadratic term of the trigonometric function value of voltage phase angle and voltage magnitude is carried out approximate.
Under low load condition, voltage phase angle is sufficiently small between being approximately considered node, equivalent expression such as formula (11) institute Show:
cosθij=1 (11)
Under low load condition, voltage magnitude close to perunit value 1, in flow equation (4) and (5) voltage it is secondary Item carries out Taylor expansion, shown in equivalent expression such as formula (12), (13):
Vi 2=2Vi-1 (12)
ViVj=Vi+Vj-1 (13)
Under low load condition, the object function of primal problem model and step 1) object function one of Optimized model set up Cause, be the minimum that voltage magnitude deviates constraint, shown in expression formula such as formula (14):
The constraints of primal problem model includes:
Voltage out-of-limit slack is constrained, shown in expression formula such as formula (15) and (16):
The branch road of linearisation is idle and the equality constraint of branch road charging capacitor, shown in expression formula such as formula (17) and (18):
Qij=(Vi-Vj)Bijzij (17)
In formulaFor branch road i-j node i charge power.
The idle charge power constraint of switched capacitor and reactor, shown in expression formula such as formula (19) and (20):
Q in formulaCi,nAnd QLi,nThe respectively reactive power of the injection of switched capacitor and reactor;WithIt is respectively every The susceptance value of group capacitor and reactor;zCi,nAnd zLi,nRespectively capacitor and reactor whether 0/1 variable of switching.
Node reactive balance is constrained, shown in expression formula such as formula (21):
Generator reactive power bound is constrained, shown in expression formula such as formula (22):
The constraint of the number of lines upper limit is cut-off, shown in expression formula such as formula (23):
2-2) set up subproblem model.Subproblem is a nonlinear optimal problem, and the integer variable of line disconnection is by leading Problem determines that subproblem is mainly used in the feasibility checking to result required by primal problem, therefore proposes PQ nodes (PQ nodes Represent the node that active and reactive power in Load flow calculation is definite value) voltage slack as subproblem optimization aim, when When the object function result of subproblem is 0 or during sufficiently small less than certain determination value, then whole optimization problem circulation terminates.
The object function of subproblem model, is expressed as shown in formula (24):
In formula, η is the target function value of subproblem model, nPQFor the quantity of PQ nodes in system,WithPoint It is not PQ node is voltage magnitude more lower limit and the more slack of the upper limit;
The constraints of subproblem model includes:
Voltage out-of-limit slack is constrained, shown in expression formula such as formula (25), (26):
Other 0/1 variable of line disconnection and the voltage magnitude variable of PV node are transmitted to subproblem by primal problem, corresponding The Lagrange multiplier of equality constraint, which is used for being formed, to be fed back to the Benders of primal problem and cuts, constraint expression formula such as formula (27), (28) It is shown:
In formulaWith0/1 value and PV node for the line disconnection that respectively primal problem is tried to achieve, PV node refer to trend meter The node that active power and voltage magnitude are fixed in calculation, constant is used as in subproblem model;WithRespectively corresponding (27) and (28) two equality constraints of formula Lagrange multiplier.
And PV node can also carry out a certain degree of adjustment from primal problem model to subproblem model to meet exchange tide The feasibility of stream, shown in constraint expression formula such as formula (29):
In formula γ be adjustable coefficient, but adjustment after voltage magnitude also to fall the scope in voltage magnitude bound It is interior.
AC power flow is constrained, shown in expression formula such as formula (30) and (31):
Generated power reactive power bound is constrained, shown in expression formula such as formula (32) and (33):
Branch Power Flow obstruction constraint, shown in expression formula such as formula (34) and (35):
3) to primal problem model solution, the solving result of primal problem model is obtained, so that it is determined that line disconnection, shunt capacitance The switching and voltage magnitude of device, reactor, the decision variable of generator reactive power obtain line disconnection set;To primal problem The solution of model can carry out directly efficient solve by instruments such as Cplex using the method for branch-and-bound.
4) according to the solving result of primal problem model, corresponding line disconnection is carried out, and judge whether system produces isolated island; Due to having among Topological expansion process after circuit is cut-off, system has the possibility to form isolated island, it is therefore desirable in optimization During carry out avoiding the formation of the judgement of isolated island.
First, node-branch road matrix should be based on, identification is only added by the node of a branch road connection, and in primal problem Constraint, it is ensured that the branch road for connecting the node is not cut-off.For may be due to isolated island formed by a plurality of line disconnection, it is to avoid Method such as following step:
4-1) according to the former network and step 3 before line disconnection) obtained line disconnection set sets up node-branch road square Battle array;
4-2) since node 1, the node being connected with the formation of node 1 is searched for by matrix, and searches further for connecting this The node of a little nodes, continuous spreading range, until search completes all to form the node being connected with the topology of node 1;
After the completion of 4-3) searching for, check whether node includes all nodes in system, if including illustrating not formed Isolated island, inspection is finished, into step 5), by step 3) solving result of primal problem model substitutes into subproblem model solution;If Do not include, then illustrate that system occurs division or generates isolated island after line disconnection, the set of line disconnection is infeasible, is entered Step 4-4);
Constraint can not be simultaneously switched off by 4-4) setting up line disconnection set, shown in expression formula such as formula (36):
K represents step 3 in formula) obtained line disconnection set, zkRepresent 0/1 variable of line disconnection in set;By formula (36) in the constraint for being added to primal problem model, step 3 is returned to) to primal problem model solution;
5) by step 3) line disconnection, shunt capacitor, the switching and electricity of reactor of the solving result of primal problem model Pressure amplitude value, the decision variable such as generator reactive power substitutes into step 2-2) the subproblem model set up solved.To subproblem Model solution, this nonlinear problem without integer variable of solution subproblem can use primal-dual interior method, and utilize Suitable business software is solved.
Whether determination decisions variable meets the target of the AC power flow feasibility requirement, i.e. subproblem of subproblem model setting Functional value is less than a specific sufficiently small value, shown in expression formula such as formula (37):
η≤κ (37)
Wherein η is the target function value of subproblem, and κ is a sufficiently small value, can be taken as 0.001.
If the target function value η of subproblem model meets formula (37), solve and complete, the solving result of primal problem model is Control strategy is coordinated and optimized for the voltage of the power system;Otherwise the Benders to primal problem model feedback as shown in formula (38) Cut, return to step 3) to primal problem model solution;
The power transmission network voltage coordinating and optimizing control method for the consideration Topological expansion that the present invention is used, mainly for transmission of electricity The problem of voltage is higher between net low-load period, by Topological expansion and generator active power, reactive power, switching capacitance Device, reactor are combined, and realize voltage coordination optimization control.The Benders decomposition methods that are proposed and linearisation, avoid isolated island etc. Method can effectively solve such a complicated mixed integer nonlinear optimization problem, with stronger practicality.

Claims (1)

1. a kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion, it is characterised in that including following step Suddenly:
1) Optimized model is set up to the power system for needing to carry out voltage coordination optimization control;Comprise the following steps that:
The object function of Optimized model 1-1) is determined, shown in expression formula such as formula (1):
<mrow> <mi>m</mi> <mi>i</mi> <mi>n</mi> <mi>&amp;beta;</mi> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>i</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mi>b</mi> </msub> </munderover> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>+</mo> <mi>&amp;chi;</mi> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>i</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mi>b</mi> </msub> </munderover> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>1</mn> <mo>)</mo> </mrow> </mrow>
Formula (1) is the minimum to voltage out-of-limit slack,WithIt is node i voltage magnitude more lower limit and the more upper limit respectively Slack,WithIt is nonnegative number;β and χ be respectively voltage more lower limit slack and more the weight of upper limit slack because Son;nbFor system node number;
1-2) constraints of Optimized model includes:
1-2-1) voltage out-of-limit slack is constrained, shown in expression formula such as formula (2), (3):
<mrow> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>m</mi> <mi>i</mi> <mi>n</mi> </mrow> </msubsup> <mo>-</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>&amp;le;</mo> <msub> <mi>V</mi> <mi>i</mi> </msub> <mo>&amp;le;</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>m</mi> <mi>a</mi> <mi>x</mi> </mrow> </msubsup> <mo>+</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>2</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>&amp;GreaterEqual;</mo> <mn>0</mn> <mo>,</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>&amp;GreaterEqual;</mo> <mn>0</mn> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>3</mn> <mo>)</mo> </mrow> </mrow>
In formula, ViIt is node i voltage magnitude,WithIt is the lower and upper limit of node i voltage magnitude respectively;
1-2-2) AC power flow voltage is constrained, shown in expression formula such as formula (4), (5):
<mrow> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>-</mo> <msub> <mi>P</mi> <mrow> <mi>D</mi> <mi>i</mi> </mrow> </msub> <mo>=</mo> <msub> <mi>V</mi> <mi>i</mi> </msub> <munder> <mo>&amp;Sigma;</mo> <mrow> <mi>j</mi> <mo>&amp;Element;</mo> <mi>i</mi> </mrow> </munder> <msub> <mi>V</mi> <mi>j</mi> </msub> <mrow> <mo>(</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>G</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>cos&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>+</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>sin&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>)</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>4</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <mtable> <mtr> <mtd> <mrow> <msub> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>-</mo> <msub> <mi>Q</mi> <mrow> <mi>D</mi> <mi>i</mi> </mrow> </msub> <mo>=</mo> <msub> <mi>V</mi> <mi>i</mi> </msub> <munder> <mi>&amp;Sigma;</mi> <mrow> <mi>j</mi> <mo>&amp;Element;</mo> <mi>i</mi> <mo>,</mo> <mi>j</mi> <mo>&amp;NotEqual;</mo> <mi>i</mi> </mrow> </munder> <msub> <mi>V</mi> <mi>j</mi> </msub> <mrow> <mo>(</mo> <mrow> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>G</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>sin&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>-</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>cos&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> </mrow> <mo>)</mo> </mrow> </mrow> </mtd> </mtr> <mtr> <mtd> <mrow> <mo>-</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mn>2</mn> </msubsup> <mrow> <mo>&amp;lsqb;</mo> <mrow> <msub> <mi>B</mi> <mrow> <mi>i</mi> <mi>i</mi> </mrow> </msub> <mo>-</mo> <msubsup> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>C</mi> </msubsup> <mrow> <mo>(</mo> <mrow> <mn>1</mn> <mo>-</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> </mrow> <mo>)</mo> </mrow> <mo>/</mo> <mn>2</mn> </mrow> <mo>&amp;rsqb;</mo> </mrow> </mrow> </mtd> </mtr> </mtable> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>5</mn> <mo>)</mo> </mrow> </mrow>
In formula, PGiAnd PDiThe respectively active power of node i generator and load;QGiAnd QDiRespectively node i generator and negative The reactive power of lotus;zijIt is 0/1 variable of the line disconnection between node i and j:Work as zijConnection is represented when=1, is not had Cut-off;Work as zijLine disconnection is represented when=0;GijAnd BijIt is the real and imaginary parts of element i-j in bus admittance matrix respectively;Bij Represent circuit i-j charging capacitor;θijIt is the phase difference of voltage between node i-j;
1-2-3) generated power, the constraint of reactive power bound, shown in expression formula such as formula (6), (7):
<mrow> <mi>m</mi> <mi>a</mi> <mi>x</mi> <mrow> <mo>(</mo> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>(</mo> <mrow> <mn>1</mn> <mo>-</mo> <mi>&amp;epsiv;</mi> </mrow> <mo>)</mo> <mo>,</mo> <msubsup> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>min</mi> </msubsup> <mo>)</mo> </mrow> <mo>&amp;le;</mo> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>&amp;le;</mo> <mi>m</mi> <mi>i</mi> <mi>n</mi> <mrow> <mo>(</mo> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>(</mo> <mrow> <mn>1</mn> <mo>+</mo> <mi>&amp;epsiv;</mi> </mrow> <mo>)</mo> <mo>,</mo> <msubsup> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>max</mi> </msubsup> <mo>)</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>6</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msubsup> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>min</mi> </msubsup> <mo>&amp;le;</mo> <msub> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>&amp;le;</mo> <msubsup> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>max</mi> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>7</mn> <mo>)</mo> </mrow> </mrow>
Generator active power carries out a range of adjustment in the vicinity of economical operation with coefficient ε, and 0≤ε≤0.1 adjusts model It is trapped among generator active power boundWithWithin;WithIt is the bound of generator reactive power respectively;
The constraint of the number of lines upper limit 1-2-4) is cut-off, shown in expression formula such as formula (8):
<mrow> <munderover> <mi>&amp;Sigma;</mi> <mn>1</mn> <msub> <mi>n</mi> <mi>l</mi> </msub> </munderover> <mrow> <mo>(</mo> <mn>1</mn> <mo>-</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>)</mo> </mrow> <mo>&amp;le;</mo> <mi>S</mi> <mi>N</mi> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>8</mn> <mo>)</mo> </mrow> </mrow>
In formula, SN is the upper limit of the line disconnection number of setting, nlThe sum of circuit in expression system;
1-2-5) backlog is constrained, shown in expression formula such as formula (9), (10):
<mrow> <msub> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>=</mo> <msup> <mrow> <mo>(</mo> <msubsup> <mi>P</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mn>2</mn> </msubsup> <mo>+</mo> <msubsup> <mi>Q</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mn>2</mn> </msubsup> <mo>)</mo> </mrow> <mrow> <mn>1</mn> <mo>/</mo> <mn>2</mn> </mrow> </msup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>9</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msubsup> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>min</mi> </msubsup> <mo>&amp;le;</mo> <msub> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>&amp;le;</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msubsup> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>max</mi> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>10</mn> <mo>)</mo> </mrow> </mrow>
In formula, SijIt is the amplitude of circuit i-j apparent energy, PijAnd QijIt is the active power and idle work(on circuit i-j respectively Rate;
2) to step 1) set up Optimized model decompose;Optimized model is decomposed into one using Benders decomposition methods to contain There are the linear optimization model and a Non-linear Optimal Model for being free of integer variable of integer variable, respectively as Benders points Primal problem model and subproblem model that solution is solved;Comprise the following steps that:
2-1) set up primal problem model;
Under low load condition, voltage phase angle is sufficiently small between being approximately considered node, shown in equivalent expression such as formula (11):
cosθij=1 (11)
Under low load condition, voltage magnitude carries out Taylor's exhibition close to perunit value 1 to the quadratic term of voltage in formula (4) and (5) Open, shown in equivalent expression such as formula (12), (13):
Vi 2=2Vi-1 (12)
ViVj=Vi+Vj-1 (13)
Under low load condition, the object function and step 1 of primal problem model) object function of Optimized model set up is consistent, Deviate the minimum of constraint for voltage magnitude, shown in expression formula such as formula (14):
<mrow> <mi>m</mi> <mi>i</mi> <mi>n</mi> <mi>&amp;beta;</mi> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>i</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mi>b</mi> </msub> </munderover> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>+</mo> <mi>&amp;chi;</mi> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>i</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mi>b</mi> </msub> </munderover> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>14</mn> <mo>)</mo> </mrow> </mrow>
The constraints of primal problem model includes:
Voltage out-of-limit slack is constrained, shown in expression formula such as formula (15) and (16):
<mrow> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>m</mi> <mi>i</mi> <mi>n</mi> </mrow> </msubsup> <mo>-</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>&amp;le;</mo> <msub> <mi>V</mi> <mi>i</mi> </msub> <mo>&amp;le;</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>m</mi> <mi>a</mi> <mi>x</mi> </mrow> </msubsup> <mo>+</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>15</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>&amp;GreaterEqual;</mo> <mn>0</mn> <mo>,</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>&amp;GreaterEqual;</mo> <mn>0</mn> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>16</mn> <mo>)</mo> </mrow> </mrow>
The branch road of linearisation is idle and the equality constraint of branch road charging capacitor, shown in expression formula such as formula (17) and (18):
Qij=(Vi-Vj)Bijzij (17)
<mrow> <msubsup> <mi>Q</mi> <mrow> <mi>i</mi> <mi>j</mi> <mo>-</mo> <mi>i</mi> </mrow> <mi>C</mi> </msubsup> <mo>=</mo> <mrow> <mo>(</mo> <mn>2</mn> <msub> <mi>V</mi> <mi>i</mi> </msub> <mo>-</mo> <mn>1</mn> <mo>)</mo> </mrow> <mrow> <mo>(</mo> <msubsup> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>C</mi> </msubsup> <mo>/</mo> <mn>2</mn> <mo>)</mo> </mrow> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>18</mn> <mo>)</mo> </mrow> </mrow>
In formulaFor branch road i-j node i charge power;
The idle charge power constraint of switched capacitor and reactor, shown in expression formula such as formula (19) and (20):
<mrow> <msub> <mi>Q</mi> <mrow> <mi>C</mi> <mi>i</mi> <mo>,</mo> <mi>n</mi> </mrow> </msub> <mo>=</mo> <mrow> <mo>&amp;lsqb;</mo> <mrow> <mrow> <mo>(</mo> <mrow> <mn>2</mn> <msub> <mi>V</mi> <mi>i</mi> </msub> <mo>-</mo> <mn>1</mn> </mrow> <mo>)</mo> </mrow> <msubsup> <mi>b</mi> <mi>i</mi> <mi>C</mi> </msubsup> </mrow> <mo>&amp;rsqb;</mo> </mrow> <msub> <mi>z</mi> <mrow> <mi>C</mi> <mi>i</mi> <mo>,</mo> <mi>n</mi> </mrow> </msub> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>19</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msub> <mi>Q</mi> <mrow> <mi>L</mi> <mi>i</mi> <mo>,</mo> <mi>n</mi> </mrow> </msub> <mo>=</mo> <mo>-</mo> <mo>&amp;lsqb;</mo> <mrow> <mo>(</mo> <mn>2</mn> <msub> <mi>V</mi> <mi>i</mi> </msub> <mo>-</mo> <mn>1</mn> <mo>)</mo> </mrow> <msubsup> <mi>b</mi> <mi>i</mi> <mi>L</mi> </msubsup> <mo>&amp;rsqb;</mo> <msub> <mi>z</mi> <mrow> <mi>L</mi> <mi>i</mi> <mo>,</mo> <mi>n</mi> </mrow> </msub> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>20</mn> <mo>)</mo> </mrow> </mrow>
Q in formulaCi,nAnd QLi,nThe respectively reactive power of the injection of switched capacitor and reactor;WithRespectively every group electricity The susceptance value of container and reactor;zCi,nAnd zLi,nRespectively capacitor and reactor whether 0/1 variable of switching;
Node reactive balance is constrained, shown in expression formula such as formula (21):
<mrow> <mo>(</mo> <msub> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>+</mo> <munder> <mi>&amp;Sigma;</mi> <mi>i</mi> </munder> <msubsup> <mi>Q</mi> <mrow> <mi>i</mi> <mi>j</mi> <mo>-</mo> <mi>i</mi> </mrow> <mi>C</mi> </msubsup> <mo>+</mo> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>n</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mrow> <mi>C</mi> <mi>i</mi> </mrow> </msub> </munderover> <msub> <mi>Q</mi> <mrow> <mi>C</mi> <mi>i</mi> <mo>,</mo> <mi>n</mi> </mrow> </msub> <mo>+</mo> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>n</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mrow> <mi>L</mi> <mi>i</mi> </mrow> </msub> </munderover> <msub> <mi>Q</mi> <mrow> <mi>L</mi> <mi>i</mi> <mo>,</mo> <mi>n</mi> </mrow> </msub> <mo>)</mo> <mo>-</mo> <mo>(</mo> <msub> <mi>Q</mi> <mrow> <mi>D</mi> <mi>i</mi> </mrow> </msub> <mo>+</mo> <munder> <mi>&amp;Sigma;</mi> <mi>i</mi> </munder> <msub> <mi>Q</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>)</mo> <mo>=</mo> <mn>0</mn> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>21</mn> <mo>)</mo> </mrow> </mrow>
Generator reactive power bound is constrained, shown in expression formula such as formula (22):
<mrow> <msubsup> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>min</mi> </msubsup> <mo>&amp;le;</mo> <msub> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>&amp;le;</mo> <msubsup> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>max</mi> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>22</mn> <mo>)</mo> </mrow> </mrow>
The constraint of the number of lines upper limit is cut-off, shown in expression formula such as formula (23):
<mrow> <munderover> <mi>&amp;Sigma;</mi> <mn>1</mn> <msub> <mi>n</mi> <mi>l</mi> </msub> </munderover> <mrow> <mo>(</mo> <mn>1</mn> <mo>-</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>)</mo> </mrow> <mo>&amp;le;</mo> <mi>S</mi> <mi>N</mi> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>23</mn> <mo>)</mo> </mrow> </mrow>
2-2) set up subproblem model;
The object function of subproblem model, is expressed as shown in formula (24):
<mrow> <mi>min</mi> <mi>&amp;eta;</mi> <mo>=</mo> <munderover> <mo>&amp;Sigma;</mo> <mrow> <mi>i</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mrow> <mi>P</mi> <mi>Q</mi> </mrow> </msub> </munderover> <mrow> <mo>(</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>+</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>)</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>24</mn> <mo>)</mo> </mrow> </mrow>
In formula, η is the target function value of subproblem model, nPQFor the quantity of PQ nodes in system,WithIt is PQ respectively Node i voltage magnitude more lower limit and the more slack of the upper limit;
The constraints of subproblem model includes:
Voltage out-of-limit slack is constrained, shown in expression formula such as formula (25), (26):
<mrow> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>min</mi> </mrow> </msubsup> <mo>-</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>&amp;le;</mo> <msubsup> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> </mrow> </msubsup> <mo>&amp;le;</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>max</mi> </mrow> </msubsup> <mo>+</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>25</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>l</mi> <mi>o</mi> <mi>w</mi> </mrow> </msubsup> <mo>&amp;GreaterEqual;</mo> <mn>0</mn> <mo>,</mo> <msubsup> <mi>v</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>Q</mi> <mo>,</mo> <mi>u</mi> <mi>p</mi> </mrow> </msubsup> <mo>&amp;GreaterEqual;</mo> <mn>0</mn> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>26</mn> <mo>)</mo> </mrow> </mrow>
0/1 variable of line disconnection and the voltage magnitude variable of PV node are transmitted to subproblem, corresponding equality constraint by primal problem Lagrange multiplier be used for being formed and feed back to the Benders of primal problem and cut, shown in constraint expression formula such as formula (27), (28):
<mrow> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>=</mo> <msub> <mover> <mi>z</mi> <mo>^</mo> </mover> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>&amp;LeftRightArrow;</mo> <msub> <mi>&amp;lambda;</mi> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> </msub> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>27</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> <mo>=</mo> <msubsup> <mover> <mi>V</mi> <mo>^</mo> </mover> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> <mo>&amp;LeftRightArrow;</mo> <msub> <mi>&amp;lambda;</mi> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> </msub> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>28</mn> <mo>)</mo> </mrow> </mrow>
In formula,With0/1 value and the voltage magnitude of PV node for the line disconnection that respectively primal problem model is tried to achieve, in son Constant is used as in problem model;WithThe respectively Lagrange multiplier of (28) two equality constraints of corresponding (27) and formula;
PV node carries out a certain degree of adjustment from primal problem model to subproblem model to meet the feasibility of AC power flow, about Shown in beam expression formula such as formula (29):
<mrow> <mi>m</mi> <mi>a</mi> <mi>x</mi> <mrow> <mo>(</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> <mo>(</mo> <mrow> <mn>1</mn> <mo>-</mo> <mi>&amp;gamma;</mi> </mrow> <mo>)</mo> <mo>,</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> <mo>,</mo> <mi>min</mi> </mrow> </msubsup> <mo>)</mo> </mrow> <mo>&amp;le;</mo> <msubsup> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> <mo>&amp;le;</mo> <mi>m</mi> <mi>i</mi> <mi>n</mi> <mrow> <mo>(</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> <mo>(</mo> <mrow> <mn>1</mn> <mo>+</mo> <mi>&amp;gamma;</mi> </mrow> <mo>)</mo> <mo>,</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> <mo>,</mo> <mi>m</mi> <mi>a</mi> <mi>x</mi> </mrow> </msubsup> <mo>)</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>29</mn> <mo>)</mo> </mrow> </mrow>
In formula, γ is adjustable coefficient;
AC power flow is constrained, shown in expression formula such as formula (30) and (31):
<mrow> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>-</mo> <msub> <mi>P</mi> <mrow> <mi>D</mi> <mi>i</mi> </mrow> </msub> <mo>=</mo> <msub> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>i</mi> </msub> <munder> <mo>&amp;Sigma;</mo> <mrow> <mi>j</mi> <mo>&amp;Element;</mo> <mi>i</mi> </mrow> </munder> <msub> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>j</mi> </msub> <mrow> <mo>(</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>G</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>cos&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>+</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>sin&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>)</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>30</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msub> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>-</mo> <msub> <mi>Q</mi> <mrow> <mi>D</mi> <mi>i</mi> </mrow> </msub> <mo>=</mo> <msub> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>i</mi> </msub> <munder> <mi>&amp;Sigma;</mi> <mrow> <mi>j</mi> <mo>&amp;Element;</mo> <mi>i</mi> <mo>,</mo> <mi>j</mi> <mo>&amp;NotEqual;</mo> <mi>i</mi> </mrow> </munder> <msub> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>j</mi> </msub> <mrow> <mo>(</mo> <mrow> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>G</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>sin&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>-</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msub> <mi>cos&amp;theta;</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> </mrow> <mo>)</mo> </mrow> <mo>-</mo> <msubsup> <mover> <mi>V</mi> <mo>~</mo> </mover> <mi>i</mi> <mn>2</mn> </msubsup> <mrow> <mo>&amp;lsqb;</mo> <mrow> <msub> <mi>B</mi> <mrow> <mi>i</mi> <mi>i</mi> </mrow> </msub> <mo>-</mo> <msubsup> <mi>B</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>C</mi> </msubsup> <mrow> <mo>(</mo> <mrow> <mn>1</mn> <mo>-</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> </mrow> <mo>)</mo> </mrow> <mo>/</mo> <mn>2</mn> </mrow> <mo>&amp;rsqb;</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>31</mn> <mo>)</mo> </mrow> </mrow>
Generated power reactive power bound is constrained, shown in expression formula such as formula (32) and (33):
<mrow> <mi>m</mi> <mi>a</mi> <mi>x</mi> <mrow> <mo>(</mo> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>(</mo> <mrow> <mn>1</mn> <mo>-</mo> <mi>&amp;epsiv;</mi> </mrow> <mo>)</mo> <mo>,</mo> <msubsup> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>min</mi> </msubsup> <mo>)</mo> </mrow> <mo>&amp;le;</mo> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>&amp;le;</mo> <mi>m</mi> <mi>i</mi> <mi>n</mi> <mrow> <mo>(</mo> <msub> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>(</mo> <mrow> <mn>1</mn> <mo>+</mo> <mi>&amp;epsiv;</mi> </mrow> <mo>)</mo> <mo>,</mo> <msubsup> <mi>P</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>max</mi> </msubsup> <mo>)</mo> </mrow> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>32</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msubsup> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>min</mi> </msubsup> <mo>&amp;le;</mo> <msub> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> </msub> <mo>&amp;le;</mo> <msubsup> <mi>Q</mi> <mrow> <mi>G</mi> <mi>i</mi> </mrow> <mi>max</mi> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>33</mn> <mo>)</mo> </mrow> </mrow>
Branch Power Flow obstruction constraint, shown in expression formula such as formula (34) and (35):
<mrow> <msub> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>=</mo> <msup> <mrow> <mo>(</mo> <msubsup> <mi>P</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mn>2</mn> </msubsup> <mo>+</mo> <msubsup> <mi>Q</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mn>2</mn> </msubsup> <mo>)</mo> </mrow> <mrow> <mn>1</mn> <mo>/</mo> <mn>2</mn> </mrow> </msup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>34</mn> <mo>)</mo> </mrow> </mrow>
<mrow> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msubsup> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>min</mi> </msubsup> <mo>&amp;le;</mo> <msub> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>&amp;le;</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <msubsup> <mi>S</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mi>max</mi> </msubsup> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>35</mn> <mo>)</mo> </mrow> </mrow>
3) to primal problem model solution, the solving result of primal problem model is obtained, line disconnection, shunt capacitor, reactance is determined The switching and voltage magnitude of device, the decision variable of generator reactive power obtain line disconnection set;
4) according to the solving result of primal problem model, corresponding line disconnection is carried out, and judge whether system produces isolated island;Specifically Step is as follows:
4-1) first according to the former network and step 3 before cut-offfing) the line disconnection set that determines sets up node-branch road matrix;4- 2) since node 1, the node being connected with the formation of node 1 is searched for by matrix, and searches further for connecting the section of these nodes Point, continuous spreading range, until search completes all with the topology of node 1 to form the node being connected;
After the completion of 4-3) searching for, check whether node includes all nodes in system:If including illustrating not form orphan Island, inspection is finished, into step 5), by step 3) solving result of primal problem model substitutes into subproblem model solution;If not Including then illustrating that system occurs division or generates isolated island after line disconnection, the set of line disconnection is infeasible, into step Rapid 4-4);
Constraint can not be simultaneously switched off by 4-4) setting up line disconnection set, shown in expression formula such as formula (36):
<mrow> <munder> <mo>&amp;Sigma;</mo> <mrow> <mi>k</mi> <mo>&amp;Element;</mo> <mi>K</mi> </mrow> </munder> <msub> <mi>z</mi> <mi>k</mi> </msub> <mo>&gt;</mo> <mn>0</mn> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>36</mn> <mo>)</mo> </mrow> </mrow>
K represents step 3 in formula) obtained line disconnection set, zkRepresent 0/1 variable of line disconnection in set;Formula (36) is added In the constraint for being added to primal problem model, step 3 is returned to) to primal problem model solution;
5) by step 3) the obtained solving result of primal problem model substitutes into step 2-2) the subproblem model set up solved, Judge whether the solving result of primal problem model meets the AC power flow feasibility requirement of subproblem model setting, i.e. subproblem mould Whether the target function value of type is less than the threshold value of setting, shown in expression formula such as formula (37):
η≤κ (37)
In formula, κ is the threshold value of setting;
If the target function value η of subproblem model meets formula (37), solve and complete, the solving result of primal problem model is this The voltage coordination optimization control strategy of power system;Otherwise, Benders of the primal problem model feedback as shown in formula (38) is cut, Return to step 3) to primal problem model solution;
<mrow> <mi>&amp;eta;</mi> <mo>+</mo> <munderover> <mi>&amp;Sigma;</mi> <mn>1</mn> <msub> <mi>n</mi> <mi>l</mi> </msub> </munderover> <msub> <mi>&amp;lambda;</mi> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> </msub> <mrow> <mo>(</mo> <msub> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> </msub> <mo>-</mo> <msubsup> <mi>z</mi> <mrow> <mi>i</mi> <mi>j</mi> </mrow> <mrow> <mi>k</mi> <mo>-</mo> <mn>1</mn> </mrow> </msubsup> <mo>)</mo> </mrow> <mo>+</mo> <munderover> <mi>&amp;Sigma;</mi> <mrow> <mi>i</mi> <mo>=</mo> <mn>1</mn> </mrow> <msub> <mi>n</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msub> </munderover> <msub> <mi>&amp;lambda;</mi> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> </msub> <mrow> <mo>(</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> </mrow> </msubsup> <mo>-</mo> <msubsup> <mi>V</mi> <mi>i</mi> <mrow> <mi>P</mi> <mi>V</mi> <mo>,</mo> <mi>k</mi> <mo>-</mo> <mn>1</mn> </mrow> </msubsup> <mo>)</mo> </mrow> <mo>&amp;le;</mo> <mn>0</mn> <mo>-</mo> <mo>-</mo> <mo>-</mo> <mrow> <mo>(</mo> <mn>38</mn> <mo>)</mo> </mrow> <mo>.</mo> </mrow> 4
CN201710442130.4A 2017-06-13 2017-06-13 Power transmission network voltage coordination optimization control method considering network structure optimization Active CN107134785B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710442130.4A CN107134785B (en) 2017-06-13 2017-06-13 Power transmission network voltage coordination optimization control method considering network structure optimization

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710442130.4A CN107134785B (en) 2017-06-13 2017-06-13 Power transmission network voltage coordination optimization control method considering network structure optimization

Publications (2)

Publication Number Publication Date
CN107134785A true CN107134785A (en) 2017-09-05
CN107134785B CN107134785B (en) 2020-04-24

Family

ID=59733895

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710442130.4A Active CN107134785B (en) 2017-06-13 2017-06-13 Power transmission network voltage coordination optimization control method considering network structure optimization

Country Status (1)

Country Link
CN (1) CN107134785B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108599180A (en) * 2018-02-02 2018-09-28 国网江西省电力有限公司经济技术研究院 A kind of electric distribution network reactive-voltage optimization method considering power randomness
CN109888775A (en) * 2019-03-06 2019-06-14 清华大学 A kind of underrun day power grid structures optimization method considering wind power integration
CN110148959A (en) * 2019-05-20 2019-08-20 华北电力大学 The transregional DC link power optimization method of meter and reactive apparatus action frequency
CN110245994A (en) * 2019-06-24 2019-09-17 广东电网有限责任公司 A kind of load area determines method, system and relevant apparatus
CN111817358A (en) * 2020-05-29 2020-10-23 中国电力科学研究院有限公司 Power transmission network structure optimization method and device considering safety distance constraint
CN112994011A (en) * 2021-02-08 2021-06-18 四川大学 Multisource power system day-ahead optimization scheduling method considering voltage risk constraint
CN113364059A (en) * 2021-05-20 2021-09-07 国网湖北省电力有限公司电力科学研究院 Multi-period decoupling friendly interactive power distribution network voltage reactive rolling optimization method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102195362A (en) * 2011-05-26 2011-09-21 中国电力科学研究院 Dynamic probability dispatching method for calculating system operation reliability
CN102244394A (en) * 2011-06-24 2011-11-16 山东大学 Two-stage initiative separation method based on normalized spectral clustering and constrained spectral clustering
US20140159763A1 (en) * 2011-08-22 2014-06-12 Altenergy Power System, Inc. Solar photovoltaic system and a method for energy harvest optimization thereof and a method for fault detection thereof
CN104701849A (en) * 2015-03-02 2015-06-10 清华大学 Fully-distributed autonomic voltage control method for active distribution network
CN104753065A (en) * 2015-04-16 2015-07-01 东南大学 Important-node power quality management method in microgrid
CN106159974A (en) * 2016-08-02 2016-11-23 清华大学 A kind of distributed reactive Voltage Optimum method that transmission & distribution are coordinated

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102195362A (en) * 2011-05-26 2011-09-21 中国电力科学研究院 Dynamic probability dispatching method for calculating system operation reliability
CN102244394A (en) * 2011-06-24 2011-11-16 山东大学 Two-stage initiative separation method based on normalized spectral clustering and constrained spectral clustering
US20140159763A1 (en) * 2011-08-22 2014-06-12 Altenergy Power System, Inc. Solar photovoltaic system and a method for energy harvest optimization thereof and a method for fault detection thereof
CN104701849A (en) * 2015-03-02 2015-06-10 清华大学 Fully-distributed autonomic voltage control method for active distribution network
CN104753065A (en) * 2015-04-16 2015-07-01 东南大学 Important-node power quality management method in microgrid
CN106159974A (en) * 2016-08-02 2016-11-23 清华大学 A kind of distributed reactive Voltage Optimum method that transmission & distribution are coordinated

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
李杰: "考虑静态电压稳定的电网无功优化", 《中国优秀硕士学位论文全文数据库 工程科技II辑》 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108599180A (en) * 2018-02-02 2018-09-28 国网江西省电力有限公司经济技术研究院 A kind of electric distribution network reactive-voltage optimization method considering power randomness
CN109888775A (en) * 2019-03-06 2019-06-14 清华大学 A kind of underrun day power grid structures optimization method considering wind power integration
CN110148959A (en) * 2019-05-20 2019-08-20 华北电力大学 The transregional DC link power optimization method of meter and reactive apparatus action frequency
CN110148959B (en) * 2019-05-20 2021-03-30 华北电力大学 Trans-regional direct-current tie line power optimization method considering reactive power equipment action times
CN110245994A (en) * 2019-06-24 2019-09-17 广东电网有限责任公司 A kind of load area determines method, system and relevant apparatus
CN110245994B (en) * 2019-06-24 2024-01-23 广东电网有限责任公司 Load area determining method, system and related device
CN111817358A (en) * 2020-05-29 2020-10-23 中国电力科学研究院有限公司 Power transmission network structure optimization method and device considering safety distance constraint
CN112994011A (en) * 2021-02-08 2021-06-18 四川大学 Multisource power system day-ahead optimization scheduling method considering voltage risk constraint
CN112994011B (en) * 2021-02-08 2023-04-25 四川大学 Multi-source power system day-ahead optimal scheduling method considering voltage risk constraint
CN113364059A (en) * 2021-05-20 2021-09-07 国网湖北省电力有限公司电力科学研究院 Multi-period decoupling friendly interactive power distribution network voltage reactive rolling optimization method
CN113364059B (en) * 2021-05-20 2022-07-22 国网湖北省电力有限公司电力科学研究院 Multi-period decoupling friendly interactive power distribution network voltage reactive rolling optimization method

Also Published As

Publication number Publication date
CN107134785B (en) 2020-04-24

Similar Documents

Publication Publication Date Title
CN107134785A (en) A kind of power transmission network voltage coordinating and optimizing control method for considering Topological expansion
CN105281360B (en) A kind of distributed photovoltaic automatic power generation control method based on sensitivity
CN105186556A (en) Large photovoltaic power station reactive optimization method based on improved immune particle swarm optimization algorithm
CN103346577B (en) Reduce wind energy turbine set AVC powerless control system and the method for wind power loss
CN105740973A (en) Mixed integer cone programming based intelligent distribution system synthetic voltage reactive power optimization method
CN102593829B (en) Method and device for determining transmission section in consideration of key branch circuit
CN104410094B (en) A kind of active power distribution method of battery energy storage power station
CN107039995A (en) A kind of distributed photovoltaic power access planing method for considering power quality problem
CN105846456A (en) Alternating current and direct current interconnected power grid wind and fire coordination dynamic economy scheduling optimization method
CN102709918B (en) Reactive power control method of grid gateway based on automatic volume control (AVC) system
CN106026113A (en) Micro-grid system monitoring method having reactive automatic compensation function
CN107294131A (en) A kind of new energy power station voltage control system and method based on inverter generator operation as synchronous condenser
CN106160000A (en) Adjust for a kind of point and save the wind-powered electricity generation additional issue control method adjusting two-stage to coordinate
CN108023364A (en) Power distribution network distributed generation resource maximum access capability computational methods based on convex difference planning
CN103544656A (en) Active power distribution network operational control method based on minimum carbon emission
CN104269847A (en) Flexible looped network control system operation and power flow optimization method
CN109617092A (en) A kind of alternating current-direct current mixing power grid dynamic reactive power optimization method and system
CN104238362A (en) Station-level control system modeling method for photovoltaic power station plant
CN105337301A (en) Gird connection point selection method and device of microgrid
CN106159961A (en) A kind of double-fed fan motor field reactive voltage control method for coordinating considering space-time characterisation
CN106602613A (en) Provincial and local two-level dispatching section coordination and control method
CN112784475B (en) Multi-agent technology-based multi-stage voltage coordination control method for power distribution network
CN104659790B (en) Large-sized photovoltaic power station reactive voltage control method
CN109995089A (en) A kind of distributed generation resource digestion capability appraisal procedure and system
CN109146176A (en) A kind of power distribution network congestion Dispatching Method towards the guidance of electric car electric charging service charge

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant