CN106972281A - 用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改 - Google Patents

用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改 Download PDF

Info

Publication number
CN106972281A
CN106972281A CN201610986559.5A CN201610986559A CN106972281A CN 106972281 A CN106972281 A CN 106972281A CN 201610986559 A CN201610986559 A CN 201610986559A CN 106972281 A CN106972281 A CN 106972281A
Authority
CN
China
Prior art keywords
plasma
substrate
antenna
microwave
phased array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610986559.5A
Other languages
English (en)
Inventor
伊凡·L·贝瑞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106972281A publication Critical patent/CN106972281A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改。本发明公开了使用微波天线的相控阵改变处理室内的半导体衬底上的反应速率的方法。所述方法可以包括:激励在处理室中的等离子体;从微波天线的相控阵发射微波辐射束;以及将所述束引导到所述等离子体中,以导致所述处理室内的半导体衬底的表面上的反应速率的变化。本发明还公开了微波天线的相控阵的特定实施方式以及包括被配置成发射微波辐射束到处理室内的微波天线的相控阵的半导体处理装置。

Description

用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改
技术领域
本发明总体上涉及半导体处理领域,更具体地涉及用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改。
背景技术
在半导体制造中重要的许多类型的工艺涉及使用气体等离子体。例如,反应性离子蚀刻(RIE)操作和原子层沉积(ALD)操作会涉及使用高能等离子体相离子和自由基物质来激活它们的相关联的表面反应,该表面反应对于RIE的情况为表面蚀刻反应,而对于ALD的情况为表面沉积反应。然而,这些工艺并不总是在被处理的衬底的整个表面上以理想的均匀度进行。许多因素可能影响整个晶片的均匀性。对于基于等离子体的工艺(并且由于等离子体相的高能量化的性质)的情况,可能难以在等离子体接触衬底表面的空间区域中保持理想均匀的等离子体密度,并且等离子体密度中的这些差异会导致等离子体介导的表面反应(无论是沉积还是蚀刻)的在整个晶片的有差异的激活。然而,除了等离子体特性之外的许多其它因素也可以全部或部分地有助于晶片不均匀性。一般来说,表面不均匀性可能对特定工艺是***性的(可能对某些表面化学物质是特定的),它们对于设计或构造中的特别的处理室的不均匀性等可能是特别的。其它***不均匀性可包括晶片由于本征晶片尺寸/几何形状而发生的中心到边缘的不均匀性。当然,衬底处理不均匀性也可能是随机的,例如,是反应室工艺条件中的随机波动、随机晶片变化等的结果。更典型地,***和随机因素都有助于衬底处理中的不均匀性。所寻求的是用于处理以改善在各种这样的情况下总体工艺均匀性的基于等离子体的技术。
发明内容
本发明公开了使用微波天线的相控阵改变处理室内的半导体衬底上的反应速率的方法。所述方法可以包括:激励在处理室中的等离子体;从微波天线的相控阵发射微波辐射束;以及将所述束引导到所述等离子体中,以影响所述处理室内的半导体衬底的表面上的反应速率的变化。
本发明还公开了微波天线的相控阵的特定实施方式。在一些实施方式中,所述微波天线的相控阵可以包括基本在平面上布置的5-256个微波天线,相邻天线之间的平均间隔为0.1-150cm。在一些实施方式中,所述微波天线的相控阵可以包括相对于彼此基本上圆筒形布置的8-256个微波天线。在一些实施方式中,所述圆筒形布置的高度可以为5-500mm,并且所述圆筒形布置的直径可以为300-600mm。
本发明还公开了包括被配置成发射微波辐射束到处理室内的微波天线的相控阵的半导体处理装置。该装置可以包括:所述处理室和微波天线的相控阵以及被配置为将半导体衬底保持在所述处理室内的衬底保持器;等离子体产生器,其被配置为在所述处理室内产生等离子体;控制器,其具有用于操作所述相控阵微波天线以影响所述处理室内的等离子体的指令。
具体而言,本发明的一些方面可以阐述如下:
1.一种微波天线的相控阵,其包括基本在平面上布置的5-256个微波天线,相邻天线之间的平均间隔为0.1-150cm。
2.根据条款1所述的相控阵,其中所述基本在平面上布置的天线包括若干基本上同心的圆形天线组,所述圆形天线组的最外侧具有275-325mm的直径。
3.根据条款2所述的相控阵,其中所述基本在平面上布置的天线包括3-24个基本同心的圆形天线组。
4.一种微波天线的相控阵,其包括相对于彼此基本上圆筒形布置的8-256个微波天线,所述圆筒形布置的高度为5-500mm,并且所述圆筒形布置的直径为300-600mm。
5.根据条款4所述的相控阵,其中所述圆筒形布置的所述高度为100-300mm,而所述圆筒形布置的所述直径为350-450mm。
6.根据条款5所述的相控阵,其中所述相邻天线之间的平均间隔为0.1-150cm。
7.根据条款6所述的相控阵,其中所述圆筒形布置包括堆叠的若干组基本上圆形布置的天线。
8.根据条款7所述的相控阵,其中所述圆筒形布置包括堆叠的3-7组基本上圆形布置的天线。
9.一种修改处理室内的半导体衬底上的反应速率的方法,所述方法包括:
-激励在处理室内的等离子体;
-从微波天线的相控阵发射微波辐射束;以及
-将所述辐射束引导到所述等离子体中,以引起所述处理室内的半导体衬底的表面上的反应速率的变化。
10.根据条款9所述的方法,其还包括:
-操纵被引导到所述等离子体中的微波能量束,以便修改对所述等离子体的密度的影响。
11.根据条款10所述的方法,其中,操纵所述能量束包括改变从所述相控阵的所述微波天线中的两个或更多个发射的所述微波辐射的相对相位。
12.根据条款11所述的方法,其中,操纵所述能量束包括改变从所述相控阵的所述微波天线中的两个或更多个发射的所述微波辐射的相对相位和幅值。
13.根据条款9所述的方法,其中,所述微波天线中的至少一些位于所述处理室的***周围。
14.根据条款9所述的方法,其中所述微波天线中的至少一些位于所述处理室上方。
15.根据条款9所述的方法,其中所述等离子体是感应耦合等离子体(ICP)。
16.根据条款9所述的方法,其中所述等离子体是电容耦合等离子体(CCP)。
17.根据条款9所述的方法,其中,所述板电极具有多个孔,并且由所述天线的相控阵发射的微波能量被引导通过所述多个孔中的至少一些。
18.一种半导体处理装置,其包括:
-处理室;
-衬底保持器,其被配置为将半导体衬底保持在所述处理室内;
-等离子体产生器,其被配置为产生在所述处理室内的等离子体;
-微波天线的相控阵,其被配置成发射微波辐射束到所述室中;以及
-控制器,其具有用于操作所述相控阵微波天线以影响所述处理室内的所述等离子体的指令。
19.根据条款18所述的处理装置,其中,所述控制器操作所述相控阵微波天线,以便操纵所发射的所述微波辐射束。
20.根据条款18所述的处理装置,其中所述控制器改变从所述相控阵的两个或更多个天线发射的所述微波辐射的所述相对相位。
21.根据条款20所述的处理装置,其中所述控制器改变从所述相控阵的两个或更多个微波天线发射的所述微波辐射的所述相对相位和幅值。
22.根据条款18所述的处理装置,其中所述天线中的至少一些位于所述处理室的***周围。
23.根据条款18所述的处理装置,其中所述天线中的至少一些位于所述处理室上方。
24.根据条款18所述的处理装置,其中所述等离子体产生器被配置为产生感应耦合等离子体(ICP)。
25.根据条款24所述的处理装置,其中所述等离子体产生器包括连接到用于产生所述ICP等离子体的一个或多个电源的两个或更多个线圈。
26.根据条款25所述的处理装置,其中由所述天线的相控阵发射的微波能量被引导在所述两个或更多个线圈之间。
27.根据条款18所述的处理装置,其中所述等离子体产生器被配置为产生电容耦合等离子体(CCP)。
28.根据条款27所述的处理装置,其中所述等离子体产生器包括连接到电源的板电极,所述电源用于在所述板电极和所述衬底保持器之间施加电压差以产生所述CCP等离子体。
29.根据条款28所述的处理装置,其中所述板电极具有多个孔,且由所述天线的相控阵发射的微波能量被引导通过所述多个孔中的至少一些。
30.根据条款17所述的处理装置,其中所述微波辐射束影响所述室内的所述等离子体的密度。
31.根据条款17所述的处理装置,其中所述处理室包括介电窗,由所述天线的相控阵发射的微波能量被传输通过所述介电窗到达所述室内。
32.根据条款31所述的处理装置,其中所述介电窗位于所述处理室的***壁内。
33.根据条款32所述的处理装置,其中所述介电窗包括石英和/或陶瓷。
34.根据条款31所述的处理装置,其中所述介电窗位于所述处理室的所述顶壁内。
35.根据条款34所述的处理装置,其中所述介电窗包括石英和/或陶瓷。
附图说明
图1A-1D示出了微波天线的相控阵,其相对于衬底表面定位并产生被导向衬底表面的一个或多个特定区域的一个或多个微波能量束和/或斑。
图2A示意性地示出了一种感应耦合等离子体反应器,其具有位于反应器装置的半导体处理室顶部的微波天线的相控阵。
图2B示意性地示出了一种感应耦合等离子体反应器,其具有位于反应器装置的半导体处理室的***周围的微波天线的相控阵。
图2C示意性地示出了一种电容耦合等离子体反应器,其具有位于反应器装置的半导体处理室顶部的微波天线的相控阵。
图2D示意性地示出了来自图2C所示的电容耦合等离子体反应器的板电极。
图2E示意性地示出了一种电容耦合等离子体反应器,其具有位于反应器装置的半导体处理室的***周围的微波天线的相控阵。
图3A-1至3A-4示出了一组模拟结果,其示出了微波辐射在原型衬底表面上或附近的受控聚焦,所述模拟结果是从位于处理装置的顶部的25个微波天线的相控阵的计算机模型生成的。
图3B-1至3B-5示出了另一组模拟结果,其示出了微波辐射在原型衬底表面上或附近的受控聚焦,所述模拟结果是从位于处理装置的顶部的25个微波天线的相控阵的计算机模型生成的。
图3C-1至3C-5示出了另一组模拟结果,其示出了微波辐射在原型衬底表面上或附近的受控聚焦,所述模拟结果是从位于处理装置的顶部的由25个微波天线组成的相控阵的计算机模型生成的。
图3D-1至3D-7示出了一组模拟结果,其示出了微波辐射在原型衬底表面上或附近的受控聚焦,所述模拟结果是从位于处理装置的***的由25个微波天线组成的相控阵的计算机模型生成的。
图4A是具有处理室的衬底处理装置的横截面示意图,所述处理室具有单个处理站。
图4B是4站式衬底处理装置的示意图,所述装置具有用于从2个处理站装载和卸载衬底的衬底搬运机械手以及用于操作所述装置的控制器。
图5A是衬底处理装置的适于实施各种ALD和/或CVD工艺的并且突出了等离子体进给和帘式气流路径的单站式处理室的横截面示意图,所述处理室采用枝形吊灯型喷头和相关联的喷头颈圈。
图5B是适于实施各种ALD和/或CVD工艺的衬底处理装置的双站式处理室的横截面示意图,每个处理站具有衬底保持器并采用枝形吊灯型喷头和相关联的喷头颈圈。
图6A-6C是适于实施各种蚀刻工艺的电容耦合等离子体(CCP)反应器的示意图。
图7是适于实施各种蚀刻工艺的感应耦合等离子体(ICP)反应器的示意图。
图8是适于实施各种蚀刻工艺的衬底处理群集工具的示意图。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对本发明的透彻理解。然而,本发明可以在没有这些具体细节中的一些或全部的情况下实施。在其它情况下,未详细描述公知的处理操作以免不必要地使本发明难以理解。尽管将会结合具体的详细实施方式描述本发明,但是应当理解,这些具体的详细实施方式并不旨在限制本发明所公开的创造性构思的范围。
尽管通常期望晶片处理操作在被处理的每个晶片的整个表面上一致地施加均匀的影响,但是这种均匀性当然不现实。实际上,晶片处理操作表现出在整个晶片上的不同程度的不均匀性。在一些情况下,沉积和/或蚀刻膜中的不均匀性可能已经产生于先前(上游)的处理操作(无论是否基于等离子体)。在一些情况下,不均匀性可以预期从后续(下游)的处理操作(再次,无论是否基于等离子体)产生。因此,工艺工程师的任务是设计用于处理加工不均匀性的有效策略——或者,在第一种情形下,通过防止或最小化不均匀性来实现,或者在其他情形下,在处理工作流的多个阶段中,在不均匀性发生之后,在一些情况下通过补偿该不均匀性来实现。
对于包括表面蚀刻工艺和原子层沉积(ALD)工艺在内的表面局部工艺,整个晶片上的均匀性取决于整个表面上的反应速率,反应速率本身取决于冲击和/或吸附反应物的进入通量密度、任何相关的粘附和/或吸附系数、副产物的流出通量、以及在反应是温度和/或压力敏感的情况下表面处的温度和压力。此外,对于需要一个或多个外部激活能量源或被一个或多个外部激活能量源增强的表面反应,例如基于等离子体/等离子体增强的蚀刻或沉积工艺,整个晶片上的反应速率也取决于激活能量源的密度(和/或能量密度)。应当理解,这种外部激活能量源可以根据实施方式用于在入站/冲击化学物质到达衬底表面之前将它们激活到它们的反应状态(例如这在反应性离子蚀刻(RIE)工艺中是典型的),或可用于激活表面吸附的反应物(例如这在原子层沉积(ALD)工艺或等离子体增强化学气相沉积(PECVD)工艺中是典型的)。因此,在涉及表面反应的半导体加工操作的背景中,一般处理加工不均匀性的一种方式是采用在局部(特别是衬底表面的位置和/或区域)改变表面反应速率的技术,以补偿衬底表面上的预期(下游)的***不均匀性、以补救过去(上游)的随机不均匀性、或以补偿在该即时的表面反应性处理步骤中产生的那些不均匀性(例如,如果蚀刻工艺在没有任何补偿的情况下趋向于是不均匀的)、或所有上面所述的。
注意,局部温度调节/控制是可以使用(并已成功地使用)以局部调节和/或控制表面反应速率的一种机制。可以实现局部温度控制的一种方式是当衬底定位在蚀刻室中(例如,在晶片卡盘内部或下面)时通过使用位于衬底下方的产生热的电阻元件的阵列,使得通过单独地控制经过每个电阻元件的电流,可以局部修改衬底温度。尽管该设计已通常应用在局部蚀刻速率调节的背景中,但是原则上它也可以应用于在ALD或PECVD工艺中调节成膜反应速率。然而,在任一情况下,这种局部温度控制可有效地用于改变反应速率(无论是蚀刻速率还是沉积速率)的程度取决于所采用的特定蚀刻或沉积工艺的反应速率对温度敏感的程度。虽然,一些蚀刻或沉积工艺可能不是特别温度敏感的,并且此外,在一些情况下,出于改善工艺稳定性的目的,实际上可能需要采用温度不敏感(或仅表现弱的温度敏感性)的蚀刻或沉积工艺——并且对于这些类型的工艺,通过温度控制的反应速率调节是不可行的。因此,尽管局部温度控制在一些情况下提供了用于局部调节反应速率(沉积或蚀刻)的机制,但是它不是没有其缺点的。
然而,还存在可以用于局部调节表面反应速率的其它机制,因为(如上所述)除了对温度的一般依赖性之外,表面反应速率通常还取决于各种其它因素。对于蚀刻工艺的情况,蚀刻速率通常取决于激活的蚀刻剂物质的局部密度,因此如果蚀刻剂是(例如,从等离子体离解事件)等离子体激活的,那么局部等离子体密度也将对蚀刻速率产生强烈的影响。因此,对于这些工艺,对局部等离子体密度的控制提供了用于局部蚀刻速率调节/控制的可行机制。如上所述,这具有使得在选择要采用的蚀刻反应中能更自由的益处,因为对于局部蚀刻速率控制不再需要温度依赖的蚀刻反应,并且温度依赖的蚀刻反应甚至可能不是合乎期望的(基于工艺稳定性考虑)。
为了实现该蚀刻速率控制,可以通过多种机制调节等离子体密度,但是这些中的许多不能有效地引起晶片位置/区域特定的等离子体密度修改。例如,尽管典型等离子体反应器(例如,用于基于等离子体的蚀刻)中的等离子体密度是气体组成、气体流速、施加的电偏置、RF功率电平、频率、占空比、电能分布、表面复合事件等的函数,但一般来说,这些因素中的每一个被建立,并且大部分是由等离子体反应器设计本身固定的。确实,给定的设计使得关于这些参数中的一些参数的选择能具有一些灵活性,并且等离子体密度可以通过这些参数(例如,气体流量、压力、所施加的RF功率)的变化而变化,但是这种调节通常导致整个反应器体积的等离子体密度的全局变化,而不是针对性地影响特定位置/区域中的等离子体密度。
因此,(用于沉积或蚀刻的)反应速率的表面局部调节——例如,以调节晶片上特定区域的速率,而不影响其它区域的速率——需要另外类型的等离子体密度控制机制。可以用来实现这一点的一种机制是微波辐射的选择性靶向应用。应当理解,微波辐射可用于电离分子并增大等离子体密度,并且存在使用微波辐射作为用于等离子体产生的主要或甚至唯一的功率源的多种商业用等离子体蚀刻器。然而,这些工具中没有一种使用目标微波辐射来提供对在衬底表面附近的等离子体密度的精密的局部、空间分辨的控制。
因此,本发明示出和描述的是用于通过有针对性地应用微波(MW)辐射来实现在衬底表面附近的有针对性的、空间局部的等离子体密度调节/控制的方法和装置,特别是利用微波天线/发射器的相控阵以在整个衬底表面上产生有差别的/非均匀强度的微波辐射的方法和装置。因此,所述方法通常会涉及:激励在处理室中的等离子体,从与处理室相关联的微波天线的相控阵发射微波辐射束,以及最后将MW辐射束引导到被激励的等离子体中以影响等离子体的能量密度,从而引起处理室内的半导体衬底的表面上的反应速率的变化。所述方法和装置可以根据实施方式适用于空间局部调节和/或控制等离子体激活(和/或增强)的蚀刻工艺、等离子体激活(和/或增强)的原子层沉积(ALD)工艺、等离子体增强化学沉积(PECVD)工艺,或者通常适用于在半导体衬底的表面处、附近或上面被等离子体激活(和/或增强)的反应性工艺类别。
基本原理如图1A所示,图1A示出了微波天线的相控阵(PAMA)101(类似于例如商业雷达***中使用的那些),PAMA 101相对于衬底表面120定位并且产生被导向衬底表面的特定区域的微波能量“束”110。相控微波天线阵列的示例可以在下述文献中找到:“Integrated Phased Array Systems in Silicon,”ALI HAJIMIRI,HOSSEIN HASHEMI,ARUN NATARAJAN,XIANG GUAN,AND ABBAS KOMIJANI,IEEE PROCEEDINGS OF THE IEEE,VOL.93,NO.9,(2005年9月),以及“Microwave Theory of Phased-Array Antennas–AReview”,Louis Stark,PROCEEDINGS OF THE IEEE,VOL.62,NO.12,1974年12月,为了所有目的,这些文献中的每一个通过引用将其整体并入本发明。如本领域的普通技术人员将容易理解的,一般来说,微波天线的相控阵是天线阵列,该天线阵列使得从该阵列的各个天线发射的MW辐射的相位和/或幅值能够相对于彼此变化——即,从阵列的天线中的(至少一些)发射的微波辐射的相对相位和/或幅值可以被调节。在一些实施方式中,仅相对相位变化;在其他实施方式中,仅相对幅值变化;在其他实施方式中,阵列的天线的相对相位和相对幅值相对于彼此变化。此外,在一些实施方式中,从阵列发射的一个和/或多个MW频率频率和/或频率范围可以改变,并且在某些这样的实施方式中,在相控阵的不同天线处不同地改变。(合适的MW频率范围包括1-500GHz。)利用这种微波天线的相控阵(PAMA)101,微波强度的方向和控制可以通过单独地调节从PAMA的2个或更多个天线(例如,PAMA的3、4、5、6、7、8、9、10、11、12或更多个天线)发射的或者甚至从PAMA的每个天线发射的微波辐射的相位和/或幅值和/或方向来完成。以这种方式,可以产生波前的适当叠加,通过相长干涉和相消干涉,该波前的适当叠加可以在衬底表面的一个或多个期望区域中产生可控的和局部的微波能量的“束”或“斑”。在一些实施方式中,微波幅值、相位和方向可以快速地以电子学方法改变,以产生具有与微波辐射的波长接近(和/或该波长的量级)的空间分辨率的限定的时变等离子体强度分布。
再次,图1A示出了微波束110,由于其从PAMA 101发射,因而被引导朝向衬底表面120的特定区域。在图1A中,微波束相对于竖直线以非零角度发射,从而使得其能适当地指向目标。附加的实施例示意性地示于图1B-1D中。如图1B所示,通过以偏离中心的位移从PAMA 101发射微波束而使微波束被导向特定区域,使得即使微波束竖直取向,其也可被映射到衬底表面120的各个区域,如图1B所示。图1C示出了在一些实施方式中,PAMA 101可以同时发射多个微波束110、112、114,并且通过这样做,同时修改晶片表面120上的多个区域附近的等离子体密度。例如,具有64个MW天线的PAMA可以产生8个或更多个可单独可控的“束”。图1D示出了在一些实施方式中,例如通过采用多重堆叠的PAMA 102可以产生微波能量“斑”116。PAMA 102可以被认为是3-D相控阵,并且PAMA 101可以被认为是2-D相控阵。如图1D所示,微波能量”斑”(和增加的等离子体密度)被水平定位(类似于图1A-1C),但是也相对于晶片表面竖直定位。
因为指向目标的微波辐射(如图1A-1D所示)增大了衬底表面的其所指向的区域中的等离子体密度,因此该策略用作用于局部调节和/或控制等离子体密度的机制,以及此外,用于寻求局部调节和/或控制任何取决于等离子体密度(和/或等离子体激活的反应物物质的密度)的局部反应速率的机制。如上所述,这些可以是蚀刻反应,但它们也可以是膜沉积反应——因为例如ALD速率也可能受局部等离子体密度的影响。再次,这种类型的速率控制不需要温度敏感的蚀刻或沉积工艺——只要它是等离子体激活的——并且因此微波天线的相控阵的利用提供了控制局部蚀刻和/或沉积速率的有功效的且通用的方法。注意,根据实施方式,微波辐射可以用作等离子体能量的主要来源,或者其可以用作补充定向能量源,该补充定向能量源用于修改主要由另一主要能量源(或者只是微波能量的另一主要来源)维持的等离子体的密度。
还要注意,根据实施方式,使用一个或多个PAMA可以使得人们能够将晶片表面分成特定的计算机可寻址区域/位置。在这样做时,可以针对特定区域/位置以编程方式分配和控制局部反应速率调节。例如,如果期望调节在例如晶片表面上的区域A、B和C中的局部蚀刻速率,则可以编写计算机程序以设置从PAMA的多个微波天线发射的微波辐射的所需的相位和/或幅值和/或方向(以及可能的频率和频率范围),使得微波能量“束”以适当的强度被引导到A、B和C区域中的每一个,从而将每个位置处的蚀刻速率改变期望的量。在区域A、B和C附近的这种等离子体密度的修改可以顺序进行,或者(利用足够大的PAMA),这种等离子体密度的修改可以用多个束同时进行(同样通过选择从阵列的适当天线发射的适当的相位和/或幅值和/或方向)。通过(机械地利用相长/相消性波干涉原理)调节来自多个MW源的相对相位和/或幅值和/或发射方向性来引导从多个MW源发射的MW辐射束的示例可以例如在下述文献中找到:“Phased Array Antennas”,R.C.Hansen,Wiley Series in Microwaveand Optical Engineering,Kai Chang ed.,1998和“Phased-Array Systems andApplications,”Nicholas Fourikis,Wiley Series in Microwave and OpticalEngineering,Kai Chang ed.,1997,为了所有目的将这些文献中的每一个通过引用整体并入本发明。
为了实现空间局部反应速率调节和/或控制(如所述的蚀刻速率和/或沉积速率等),相对于合适的衬底处理室策略性地定位一个或多个PAMA。图2示意性地示出了衬底处理装置201,其具有相对于半导体处理室250定位的PAMA 210。PAMA 210在图2中(以及在图2B-2E中)被描绘为具有连接到阵列的所有天线元件以便适当地电控制和改变它们的相对相位、幅值和/或方向的相位/幅值控制单元290。
在该特定实施方式(图2A)中,衬底处理装置201是具有感应线圈260的感应耦合等离子体(ICP)反应器。衬底保持器230上的衬底220位于处理室内。注意,PAMA210的各个天线定位并且定向成使得它们在感应线圈260(其通常将倾向于吸收微波辐射,并且因此将趋向于使室250的内部不受微波辐射的影响)之间引导微波辐射。感应线圈260和相控阵210都位于处理室250的“窗”270附近,该窗270(至少)在一定程度上对于RF和MW辐射是可穿透的(该构思是指通常处理室250的壁对于RF和MW将不是可穿透的)。“窗”270可以由例如石英或陶瓷或其他介电材料制成,而处理室的壁通常由金属材料形成。
图2B中示出了具有PAMA(或与PAMA相关联)的ICP反应器装置202的替代实施方式。在该实施方式中,PAMA 211(再次注意幅值/相位/方向控制单元290的存在)被适当地确定尺寸,使得其围绕处理室250的***(在图2B中以横截面示出),并且因此,(至少)部分MW可穿透的“窗”272位于处理室250的侧面/***壁中。这种设计具有以下优点:感应线圈260(其仍然邻近室250的顶部窗270定位)将不会干扰微波辐射从PAMA 210到处理室的传输。然而,对于这种设计,有其他问题需要考虑,这将在下面详细讨论。
图2C-2E示意性地示出了PAMA210、211与电容耦合等离子体(CCP)反应器的关联(和/或集成)。就PAMA 210位于处理室的顶部而言,图2C所示的装置设计203类似于图2A所示的ICP反应器201,但是,不存在用于产生等离子体的感应线圈(如在ICP反应器(图2A-2B)中),而是存在被提供用于产生等离子体的板电极280(通过在板电极280和衬底保持器/卡盘230之间施加电压差产生等离子体)。如同具有如图2A所示的感应线圈260的情况,板电极280将倾向于使处理室250的内部不受从PAMA 210发射的微波辐射的影响。因此,为了解决这个问题,板电极280可以被构造为具有孔292,如图2D所示的透视图中所显示的,孔292将与阵列210的各个天线的位置大致对准。根据实施方式,孔可以通常是圆形、椭圆形或甚至槽形,或前述的组合。
同样,图2E示意性地示出了侧面安装的PAMA与CCP反应器装置204的集成。类似于与图2B中的ICP反应器相关联的侧面安装的PAMA,与图2E中的CCP反应器相关联的PAMA将天线定位成围绕处理室250的***——并且如图2B所示,在处理室250的侧壁中的(至少)部分MW可穿透的“窗”272的附近——从而避免了由板电极280引起的干扰的问题。注意,通过PAMA 211的侧壁定位,电极280不需要提供如图2D所示的孔292。此外,在PAMA 211被侧面安装在反应室250的***周围,并且板电极280位于反应室的顶部但在顶壁内的情况下,(至少部分)MW/RF可穿透的窗270可以去除(如图2E所示)。下面讨论这种设计的其他含义。
注意,就图2A-2E(分别)所示的处理装置201、202、203和204而言,与每个装置相关联的PAMA 210或211可以以集成到装置中的方式构造,或者它们可以是尺寸适于改装到现有装置设计的单独部件。下面提供ICP反应器和电容耦合等离子体(CCP)反应器的详细描述,它们可以用PAMA改装,这样的PAMA用于在空间上指向目标的反应速率调节。下面还描述了膜沉积装置(适用于执行ALD工艺),其可以用一个或多个PAMA器件适当地改装。
无论是作为附加的可改装部件提供,还是作为处理装置的完全集成的原始部件提供,PAMA都将被设定尺寸,并且其天线被适当地布置,以便有效地将聚焦的微波辐射束引导到可应用的处理室内。因此,适当的顶部定位的PAMA可以包括基本上在平面布置的5-256个微波天线。该平面布置可以包括若干个基本上同心的圆形天线组。最外面的组可以具有200-400mm的直径,或者更特别地,在某些这样的实施方式中,可以具有275-325mm的直径;可以存在3-24个这样的基本上平面型的和基本上同心的圆形天线组。在一些实施方式中,顶部定位/安装的PAMA的相邻天线之间的平均间隔可以是0.1-150cm,或者更具体地,0.2-100cm,或者还更具体地,0.5-50cm。
同样,合适的侧面/***定位的PAMA可以包括相对于彼此基本上圆筒形布置的8-256个微波天线,如图2B和2E(横截面)与图3D-1(下面讨论)所示。在一些实施方式中,所述圆筒形布置的高度可以为5-500mm,或更特别地为100-300mm。在一些实施方式中,所述圆筒形布置的直径可以为300-600mm,或更特别地为350-450mm。侧面/***定位的PAMA中的相邻天线之间的平均间距可以为0.1-150cm,或更特别地为0.1-15cm。在一些实施方式中,天线可以布置成圆筒形堆叠的若干天线组,每组具有基本上圆形的布置;可以存在例如2-7个这样的组(例如,图2B和2E中的4个组以及图3D-1中的2个组)。在一些实施方式中,用于沉积、蚀刻或其它处理操作的衬底处理装置可以包括顶部安装和侧面/***安装的PAMA,然后这些PAMA可以(协同地)结合和/或一致地使用以实现期望的等离子体密度修正水平。在一些实施方式中,使用足够有功效的PAMA或PAMA组,一个或多个PAMA本身除了作为产生用于局部等离子体密度修改的可引导的MW辐射束外,还可用作EM辐射的主要来源,以维持等离子体以及给等离子体供电。还应注意,原则上没有什么可防止前述基于PAMA的表面反应速率控制技术与衬底温度控制阵列(例如位于衬底保持器内的单独可控制的产生热的电阻元件)结合使用来协同地(PAMA加温度控制阵列)工作以调节衬底表面上的反应速率(虽然,要有效,这还将需要温度敏感的反应过程、蚀刻、沉积或其它)。这种温度控制阵列的示例可以在2014年1月28日提交的名称为“Heating Plate with Planar Heating Zones forSemiconductor Processing”的美国专利No.8,637,794中找到,其全部内容基于所有目的通过引用并入本发明。
模拟结果
图3A-1至3D-7提供了示出微波(MW)辐射在原型衬底表面上或附近的受控聚焦的模拟结果,这些模拟结果是从由25个微波天线组成的相控阵的计算机模型生成的。各种结果通过改变从模拟PAMA的各种天线发射的微波辐射的相对相位和/或相对幅值来产生。
如图3A-1所示,第一组模拟试验模拟装置配置,其中PAMA 310位于反应室350上方,并且MW辐射向下朝向原型衬底320聚焦。因此,该配置可以对应于在图2A中示意性地示出的ICP蚀刻室或者在图2C中的CCP蚀刻室。三次模拟的结果示出为聚焦到衬底表面上的三个不同斑点的MW辐射束,如图3A-1所示:分别在图3A-2、3A-3和3A-4中的中心(center)、中点(mid point)和边缘。模拟的结果表明,模拟的PAMA在将MW束聚焦到衬底表面上的三个指定斑点中的每一个上发挥了出色的作用。
图3B-1示出了相同装置配置(如图3A-1所示)的附加结果。在该示例中,MW束再次聚焦到晶片的中心(如图3A-2所示),但是这里,图3B-2、3B-3和3B-4示出了与在图3B-5所示的晶片表面的平面处的MW强度对比的在晶片表面的平面上方的各个高度切片处的MW辐射的强度(如图所示)。这些模拟结果显示MW辐射不仅在整个衬底表面(如图3A所示)水平地局部化,而且也竖直地局部化。因此,这些模拟大致地对应于图1D中所示的。图3C-1至图3C-5针对被导向晶片边缘的MW辐射束示出了类似结果(在各个竖直切片处的MW强度与在晶片平面中的强度对比),并且再次看到MW强度的显著的竖直地局部化伴随水平局部化。
如图3D-1中所示,下一组模拟对应于装置配置,其中PAMA 311位于反应室350的侧面/***周围,并且MW辐射朝向原型衬底320向内聚焦。因此,该配置可对应于在图2B中示意性地示出的ICP蚀刻室或者图2E中的CCP蚀刻室。三种模拟的结果如3D-2、3D-3和3D-4所示,其中在反应室350(或图2B中的250)内存在被激励的蚀刻等离子体的情况下,MW束分别被导向中心、中点和边缘。关闭蚀刻等离子体的类似结果示于图3D-5,3D-6和3D-7中(同样,MW束分别被导向中心、中点和边缘)。在蚀刻等离子体打开时,结果显示在衬底的中点(图3D-3)和边缘(图3D-4)处的MW束强度的良好水平局部化,但是当束被引导到中心(图3D-2)时局部化差。这是衬底中心距阵列的天线最远的结果。注意,这不是位于反应室上方的PAMA 310的问题(参见图3A-1以及随后的等),因为在该配置中,人们观察到PAMA与其靠近衬底边缘和中点区域一样地靠近衬底的中心定位。然而,3D-5、3D-6和3D-7(同样,分别为中心、中点和边缘)显示,如果等离子体关闭,则侧面/***发射的MW辐射到达衬底中心的问题消失,原因是被激励的等离子体具有某种程度上屏蔽MW辐射的透射的电离物质,而未被激励的等离子体不具有。这表明使等离子体在被激励的状态和未被激励的状态之间循环可以允许利用该PAMA配置脉冲施加指向目标的MW辐射,甚至到衬底表面的中心(尽管在一些实施方式中,不管怎样,可能是,反应/蚀刻速率调节/增强在衬底中点和边缘区域附近是最重要的)。
等离子体增强沉积工艺和相关装置
上面描述了用于在处理操作中调节和/或控制半导体衬底表面附近的局部温度或局部等离子体密度的各种技术。这些技术可以在蚀刻或沉积操作的背景中应用,特别是在等离子体增强化学气相沉积(PECVD)工艺以及原子层沉积(ALD)工艺中在沉积方面的应用。因此,这里提供这些沉积操作和相关联的沉积装置的概述。以下还是可以用于各种衬底蚀刻操作并且还可以从使用微波天线的相控阵来局部调节衬底表面附近的等离子体密度中受益的装置的概述。
沉积工艺概述
许多挑战可能与在半导体晶片上实施膜沉积工艺相关联,许多挑战源自以下事实:期望这些工艺展现出良好的整个晶片的均匀性、在单个晶片上的从沉积循环到循环的均匀性、以及整个成批的晶片的良好均匀性。此外,可能期望有意地沉积特定的不均匀的膜厚度,以补偿一些上游或下游的不均匀性。在这之上,处理生产量需求通常要求快速的沉积循环时间,并且这可能对相关的物理硬件以及工艺设计需求提出高要求。如上所述,等离子体均匀性通常是重要的问题,并且在膜沉积期间等离子体的激励可能使得难以实现均匀的整个晶片的等离子体密度。这些问题可以通过用于经由如上所述的相控阵天线实现更大的等离子体密度控制的技术而受益。
如下面进一步详细描述的,用于在处理室中的衬底上沉积单个材料层的基本的ALD循环可以包括:(i)将膜前体吸附在衬底上,使得其形成吸附限制层,(ii)从保持衬底的处理站附近除去(至少一些,当存在时)未吸附的(包括解吸的)膜前体,以及(iii)在除去未吸附的膜前体之后,(例如通过激励所述处理站附近的等离子体)使吸附的膜前体反应以在所述衬底上形成膜层。(将“未吸附的”膜前体,如本发明所使用的,定义为包括解吸的膜前体。)通常,ALD循环另外涉及操作(iv):在吸附的膜前体反应之后,从处理站附近去除解吸的膜前体和/或膜前体反应副产物,所述处理站保持放置在其上面的衬底。操作(ii)和(iv)中的去除可以通过清扫衬底附近、通过抽排至基本压强(“抽排至基压”)而排空、等等进行。在操作(iii)中用于激活表面反应的等离子体通常由等离子体进给气体支持,该等离子体进给气体例如可以通过一个或多个喷头(下面更详细地描述)流入反应室。在一些实施方式中,等离子体进给气体可用于吹扫室以实现在操作(ii)和(iv)中的去除。
然而(如所述的),通过PECVD工艺所沉积的膜的在整个晶片的均匀性也可以受益于局部等离子体密度控制,例如通过使用如上所述的微波天线的相控阵进行。传统的PECVD工艺与ALD工艺具有一般的相似性,例如,它们都涉及将气相膜前体引入到处理室中,接着,等离子体随后激活这些前体以在衬底上形成膜层。然而,在PECVD中,成膜反应在膜前体仍处于气相(或至少在很大程度上)的同时发生,从而导致膜材料以较大的量较快地形成,然后自身沉积到晶片表面。换句话说,与ALD工艺相反,在PECVD工艺中发生的成膜反应通常不是表面介导的和吸附限制的,因此在每个PECVD循环中沉积显著较多的膜材料的吸附限制层。在一些实施方式中,这(PECVD不太缓慢的事实)使得PECVD通常不如ALD均匀,因此更易于从本发明公开的局部等离子体密度控制技术和硬件获得显著的益处。
膜沉积装置
在半导体衬底上沉积膜的操作通常可以在如图4A所示的衬底处理设备中执行。将在下面更详细地描述的图4A的装置400具有在内部容积中有单个衬底保持器408的单个处理室402,该内部体积可以通过真空泵418保持在真空下。气体输送***401和喷头406也流体地耦合到室以用于(例如)膜前体、载气和/或清扫气体和/或处理气体、次级反应剂等等的输送。用于在处理室内产生等离子体的设备也被在图4A中示出,并将在下面进一步详细描述。在任何情况下,如在下面详细描述的,在图4A中示意性示出的装置提供了用于在半导体衬底上执行膜沉积操作的基本的设备,这些操作诸如在等离子体增强化学气相沉积(PECVD)工艺中采用的那些操作以及在原子层沉积(ALD)工艺中采用的那些操作。
虽然在某些情况下,像图4A那样的衬底处理装置可能是足够的,但是当涉及费时的膜沉积操作时,通过同时在多个半导体衬底上并行地进行多个沉积操作以提高衬底处理吞吐量,这可能是有利的。基于这样的目的,如图4B所示意性示出的那样,可以采用多站式衬底处理装置。图4B的衬底处理装置440采用单个衬底处理室445(如图4A中的处理装置400被描绘为采用单个的处理室402),然而,在由处理室的壁所限定的单一内部体积内,是多个衬底处理站,每一个衬底处理站可以用于在被保持在与该处理站相关联的晶片保持器中的衬底上执行处理操作。在该特定实施方式中,多站式衬底处理装置440被显示为具有4个处理站441、442、443和444。装置还采用衬底装载设备,在这种情况下为衬底搬运机械手446,其用于在处理站441和442装载衬底,以及还采用衬底传送设备,在这种情况下为衬底转盘490,其用于在各个处理站441、442、443和444之间传送衬底。注意,根据实施方式并且如上所述,每个处理站可以与其自身的微波天线的相控阵(即其特定的阵列)相关联,并且因此例如4站式室将具有4个相控阵,或者在一些实施方式中,单个相控阵可以提供一个或多个可控微波辐射束,可控微波辐射束可以用于影响多个处理站的等离子体密度,例如,4站式室可以具有微波天线的单个相控阵,其调节在所有4个处理站的等离子体密度。其它类似的多站式处理装置可具有较多或较少的处理站,具体取决于实施方式以及例如期望的并行晶片处理的程度、尺寸/空间的限制、成本限制等。图4B还示出了控制器450(将在下面更详细描述),其有助于执行例如在ALD操作中的有效的衬底沉积操作这一目标。
注意,就设备成本和运营费用这两方面而言,通过使用如图4B中所显示的那样的多站式处理装置可以实现多种效率。例如,单个真空泵(未在图4B中示出,但是例如图4A中的418)可以被用来为所有的4个处理站产生单个高真空环境,并且所述真空泵也可以用于相对于所有的4个处理站排空已用过的工艺气体,等等。根据实施方式,每个处理站通常具有其自己的用于气体输送的专用喷头(参见例如图4A中的406),但是供应气体到喷头的气体输送***(例如,图4A中的401)的一些部件可以共享。同样,等离子体产生器设备的某些元件可以在处理站之间共享(例如,电源),但是,根据实施方式,某些方面可以是处理站特定的(例如,如果喷头用于施加产生等离子体的电气电位-参见下面图4A的附加讨论)。然而,再次地,应当理解,也可以通过在每个处理室使用更多或更少数量的处理站来实现这样的效率,例如每个处理室使用2个、或3个、或5个、或6个、或7个、或8个、或9个、或10个、或11个、或12个、或13个、或14个、或15个、或16个、或更多的处理站。
与在单个处理室中采用多个处理站相关联的另一个优点是,这种设计通常允许相比于在单处理站室中通常可行的等离子体使用较高功率的等离子体。这是由于以下事实:多站式室通常比单站式室体积大,并且较大的室体积允许使用较大的用于生成等离子体的电压,而不会引起朝向室壁的电弧;这意味着可以安全地使用更大的等离子体功率。较高的等离子体功率是有益的,因为例如在介电膜沉积的情况下,使用较高功率的等离子体导致具有相应较高密度的沉积的介电膜,这通常是期望有的性质。
尽管使用具有多个处理站的较大处理室可以提供上述益处,但是另一方面,存在通常与使用较小的单站式处理室相关联的某些优点。其中之一是室容积的快速循环,即快速引入和移除反应物、反应副产物的能力等。这种快速循环在ALD工艺中可能是特别重要的,其中需要许多沉积循环来沉积膜可观的厚度,因此耗时的循环室体积可能是相当显著的。因此,为了将较大体积的多处理站室的优点和通常与较小体积的单处理站室相关联的那些优点相结合,多站/室处理装置可通过使帘式气体在各个处理站之间流动而在每个处理站“模拟”小体积室,从而在膜沉积操作期间使它们在体积上彼此隔离。例如,在沉积操作期间,这种“帘式气体”可以在处理站之间流动,以防止反应物、等离子体进给气体等的相互混合,同时不干扰(至少不到不可行的程度)在每个处理站发生的反应性膜沉积工艺。虽然这可以“模拟”用于反应物流动和副产物吹扫的目的的较小体积,但是相对于高等离子体功率和某些部件成本的缩放,较大室体积的优点保持完整。
此外,除了前述益处之外,处理站通过帘式气体流的体积隔离可以允许构成将在处理站之间交错的沉积循环的操作序列。例如,相对于ALD工艺与这种交错循环相关的各种优点在提交于2013年12月18日的名称为“SEQUENTIAL PRECURSOR DOSING IN AN ALDMULTI-STATION/BATCH REACTOR”的美国专利申请No.14/133,246(代理人案卷号LAMRP059US),现在的美国专利美国专利No.8,940,646中有详细描述,在此出于所有目的通过引用将其整体并入本发明。
然而,应注意,为了(相对于ALD或PECVD操作)实现前述益处,不一定需要各种处理站通过帘式气体流完全彼此体积隔离这样的情况。一般来说,人们会期望情况不是这样。因此,在本公开的上下文中,通过帘式气体流将一个处理站与另一个处理站“体积上隔离”应被解释为意指处理站之间的帘式气体流起作用以显著减少处理站之间的气体混合,如果没有使用这种帘式气体流,则这种气体混合会发生。这与在每个处理站保留在其自己的单独处理室中时将存在的“完全”或“完美”的体积隔离形成对比;用帘式气体进行的体积分离并不暗示也不需要这种完美/完全的分离/隔离。
还应注意,在基于等离子体的沉积操作中,帘式气体可以在概念上被看作与等离子体进给气体不同,等离子体进给气体用于支持用于激活引起膜沉积的反应的等离子体。注意,在一些实施方式中,等离子体进给气体也在适当时用作用于从不同处理站的附近去除未吸附的膜前体(反应物)的清扫气体。因此,尽管在沉积循环的所有阶段期间帘式气体可以(并且通常将)连续地流入处理站,但是等离子体进给气体通常仅在等离子体激活(和清扫操作,如果也用作清扫气体的话)当它们在特定的处理站执行时的期间流动到处理室,并且更具体地,流动到处理站。
在一些实施方式中,多站式膜沉积装置可以采用枝形吊灯型喷头,每个喷头与每个处理站相关联。这种枝形吊灯型喷头通常可以包括头部和杆部,头部的底表面提供用于使膜前体、等离子体进给气体和可能的不同清扫气体流入每个处理站附近的处理室的孔。喷头的杆部分用于在处理室内的每个处理站上方支撑/悬挂头部部分,并且还提供用于使膜前体(和/或其他反应物)、等离子体进给气体等流动到头部部分内的孔的流体路径/连接。通常,可以看到,枝形吊灯型喷头设计使得膜前体流相对于衬底表面能在空间上良好地均匀分布,并且相比于仅通过几个喷嘴用作点流源将实现的分布得到了改进。
此外,这种喷头还可以在产生(和维持)每个处理站处的用于激活(无论是在ALD操作中还是在PECVD操作中的)沉积反应的等离子体中起作用。特别地,在施加合适的电势时,每个枝形吊灯型喷头可以用作用于产生等离子体的两个电极之一,另一个电极是衬底保持器(例如,基座),在两者之间施加电势。枝形吊灯型设计使得喷头能靠近衬底表面定位,由此使得能非常接近衬底有效地产生等离子体以及能够靠近衬底提供膜前体(反应物)的空间均匀分布。还应注意,以这种方式(经由枝形吊灯型喷头)产生等离子体可以在等离子体和接地室壁之间提供更大的空间间隔,这又使得能够使用较高功率的等离子体(例如和使用与室顶壁齐平地安装的喷头对比)。此外,如上所述,如果等离子体进给气体也用作清扫气体,则其引入衬底附近使得未吸附的膜前体和/或反应副产物能被充分和有效地清扫掉。
此外,尽管使用枝形吊灯型喷头使得能将等离子体进给气体接近衬底表面引入,但帘式气体可从每个枝形吊灯型喷头的头部后面的入口点引入处理室,特别是,在一些实施方式中,穿过围绕喷头的杆部分的喷头套环中的孔引入。此外,在某些这样的实施方式中,帘式气体可以从这些孔沿着基本上平行于衬底的平面和/或头部的底表面的方向,并且因此通常最初沿与从喷头的头部的底表面释放的流垂直的方向流动。该帘式气体的这种流动可以横向地继续,直到帘式气体到达喷头的背侧的端部(喷头的头部的顶表面),在该点处帘式气体流可以向下转向,现在平行于来自喷头的头部的等离子体进给和/或清扫气体的流动方向。这种流动模式相对于图5A中的单个处理室示出,分别参见处理室502、喷头506、喷头套环530;以及帘式气体和等离子体进给(和反应物前体)流动路径510和520。在图5A所示的与前述描述一致的结构中,来自等离子体进给气体源512的等离子体进给气体通过喷头506的头部的底表面流入室502,而来自帘式气体源522的帘式气体通过围绕喷头506的杆部分的喷头套环530中的孔流入室502。因此,这里的帘式气体(注意,即使在单个站的背景中保持描述性短语“帘式气体”)在喷头506的后侧的中心轴线附近被引入到处理室502中,并且以基本上平行于保持在基座508上的衬底512的平面(并且基本上平行于喷头506的头部的底部表面)的流被引入。如此引入的帘式气体然后行进到围绕喷头并且沿着室侧壁向下流动,之后,在横向板503附近离开室(如图5A中的箭头示意性地示出的)。
图5B图解了通过帘式气体流形成的在处理站之间的体积隔离,其示出了处理装置550内的多站式处理室503内的成对的处理站511和512(参见图5B中的虚线)。如图中通过指示气流方向的箭头所示的,除了图5A所示的帘式气体流模式(在单个站的背景中)之外,在这里,帘式气体520还另外在处理站511和512之间流动,从而使它们彼此在体积上隔离。注意,该视图以横截面示出了成对的处理站,因此该视图可以表示2站式处理室实施方式,或者它可以表示4站式处理室实施方式的横截面视图,例如在图4B中所示意性示出的。在任何情况下,所示的成对的处理站中的每个处理站类似于图5A所示的单个处理站。因此,伴随图5A的说明(以及附图标记)在适当的情况下也适用于图5B,最重要的区别在于,在图5B中,存在成对的处理站511和512,并且该成对的处理站511和512通过帘式气体520的流动彼此在体积上隔离/分离。
现在描述图4A所示的单个处理站沉积装置的各种进一步的方面。显然现在描述的这些进一步的方面中的许多方面也适用于多站/室沉积装置的背景中。如图所示,处理室400与反应物输送***401流体地连通以便将工艺气体输送至分配喷头406。反应物输送***401包括用于混合和/或调节输送至喷头406的工艺气体的混合容器404。一个或多个混合容器入口阀420可控制工艺气体朝向混合容器404的引入。一些反应物可在汽化并随后输送到处理室402之前以液体形式存储。图4A的实施方式包括用于将拟被供给到混合容器404的液体反应物汽化的汽化站点403。在一些实施方式中,汽化站点403可以是加热的液体喷射模块。在一些实施方式中,汽化站点403可以是加热的蒸发器。从这样的模块/蒸发器产生的饱和反应物蒸气在输送管路的合适位置没有受到充分的控制时(例如,当没有氦气用于汽化/雾化液体反应物时)会在输送管路下游凝结。不相容的气体暴露于凝结的反应物会产生小颗粒。这些小颗粒会堵塞管路、阻碍阀操作、污染衬底等。解决这些问题的一些方法涉及打扫和/或排空输送管路以去除残留的反应物。然而,打扫输送管可能会增加处理站的循环时间、降低处理站的吞吐量。因此,在一些实施方式中,也可以对汽化站点403下游的输送管路进行热处理。在一些实施例中,也可以对混合容器404进行热处理。在一个非限制性的实施例中,汽化站点403下游的管路具有从约100℃升高至在混合容器404处的约150℃的递增的温度分布。
在一些实施方式中,汽化站点403可以是加热的液体喷射模块(简称“液体喷射器”)。这样的液体喷射器可喷射液体反应物的脉冲到混合容器上游的载气流中。在一种情况下,液体喷射器可通过从较高的压强到较低的压强来闪蒸液体,从而汽化反应物。在另一种情况下,液体喷射器可将液体雾化成随后在加热的输送管路中汽化的分散微滴。应该理解的是,较小的液滴比较大的液滴会较快汽化,从而减少液体喷射和完全汽化之间的延迟。较快汽化可以缩短汽化站点403下游管路的长度。在一种情况下,液体喷射器可直接安装到混合容器404上。在另一种情况下,液体喷射器可直接安装到喷头406上。
在一些实施方式中,汽化站点403上游的液体流量控制器(LFC)可以被设置用于控制液体的质量流量以便使其汽化并将其输送到处理室402。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。然后可响应于由与该MFM电通信的比例-积分-微分(PID)控制器提供的反馈控制信号调节LFC的柱塞阀。然而,使用反馈控制来稳定液体流可能需要一秒或更多时间。这可能延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间进行动态切换。在一些实施方式中,LFC可以通过禁用LFC和PID控制器的感应管道而被动态地从反馈控制模式切换到直接控制模式。
喷头406将工艺气体和/或反应物(例如,膜前体)朝在处理站处的衬底412分配,工艺气体和/或反应物(例如,膜前体)的流动由喷头上游的一个或多个阀(例如,阀420、420A、405)控制。在图4A中所示的实施方式中,衬底412位于喷头406的下方,并显示为搁置在基座108上。应该理解的是,喷头406可具有任何合适的形状,并且可以具有任何合适数量和布置的端口以便分配工艺气体到衬底。
在一些实施方式中,微体积407位于喷头406下方。在处理站靠近衬底的微体积中执行ALD工艺而不是在处理室的整个体积中执行ALD工艺,这样可以减少反应物的暴露和打扫次数,可减少改变工艺条件(例如,压力、温度等)的次数,可以限制处理站的机械手暴露于工艺气体等。示例性的微体积尺寸包括但不限于介于0.1升和2升之间的体积。
在一些实施方式中,基座408可以升高或降低以暴露衬底412于微体积407和/或改变微体积407的体积。例如,在衬底传送阶段,基座408可被降低,以使衬底412能被加载到基座408上。在衬底上进行沉积的处理阶段期间,基座408可被升高以将衬底412定位在微体积407内。在一些实施方式中,微体积407可完全围绕衬底412以及基座408的一部分,以在沉积处理期间创建高流动性阻抗区域。
任选地,可将基座408在沉积处理的部分期间降低和/或升高以调节微体积407内的处理压强、反应物浓度等。在处理室主体402在处理期间保持在基本压强的一种情况下,降低基座408可使得微体积407能被抽空。微体积比处理室体积的示例性比率包括,但不限于,介于1:500和1:10之间的体积比。应理解的是,在一些实施方式中,基座高度可以经由合适的***控制器通过编程方式进行调整。在另一种情况下,调整基座408的高度可以使得等离子体密度在包含例如于ALD或CVD工艺中的等离子体活化和/或处理循环期间能够变化。在沉积处理阶段结束时,基座408可以在另一衬底传送阶段被降低以使得衬底412能从基座408移除。
虽然在本发明描述的示例性微体积变化涉及高度可调的基座,但应该理解的是,在一些实施方式中,喷头406的位置可以相对于基座408被调整以改变微体积407的体积。此外,应当理解的是,基座408和/或喷头406的竖直位置可以通过本公开内容的范围内的任何合适的机制来改变。在一些实施方式中,基座408可包括用于旋转衬底412的方向的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调整中的一个或多个可以通过一个或多个适当的***控制器以编程方式执行,该控制器具有用于执行前述操作的全部或子集的指令。
进一步,如图4A所示,喷头406和基座408可以电连通RF功率源414和匹配网络416以用于激励等离子体。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源的功率、RF源的频率以及等离子体功率脉冲时序中的一个或多个来控制(例如,经由具有适当的机器可读指令的***控制器)。例如,RF功率源414及匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组成的等离子体。在上文中包含合适的功率的实施例。类似地,RF功率源414可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源414可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括但不限于介于50kHz和500kHz之间的频率。示例性的高频RF频率可以包括但不限于介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性的实施例中,等离子体功率可以间歇地施以脉冲,以相对于被连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或一个以上的电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射谱(OES)传感器来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调整。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其他工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体激活阶段的等离子体条件的指令可被包括在工艺配方的相应的等离子体激活配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或一个以上的等离子体参数的指令可以被包括在等离子体工艺阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体(例如,氦)和/或反应物气体的流率的指令、用于设置等离子体产生器至功率设定点的指令、以及用于第一配方阶段的时延指令。后续的第二配方阶段可包括用于启用等离子体产生器的指令以及用于第二配方阶段的时延指令。第三配方阶段可以包括用于禁用等离子体产生器的指令以及用于第三配方阶段的时延指令。应当理解,这些配方阶段可进一步以在本公开的范围内的任何合适的方式细分和/或重复。
在一些沉积处理中,等离子体激励维持约几秒钟或更长的持续时间。在本发明描述的一些实现方式中,在处理循环过程中,可以施加远远较短的等离子体激励。这些可以是约50毫秒至1秒,其中0.25秒是具体示例。这种短的RF等离子体激励需要等离子体的快速稳定化。为了实现这一点,可以将等离子体产生器配置为使得阻抗匹配被预设为特定的电压,同时使频率能浮动。按惯例,高频等离子体在约13.56MHz的RF频率下产生。在本发明所公开的多种实施方式中,可以使频率能浮动到不同于该标准值的值。通过使频率能浮动,同时固定阻抗匹配到预定电压,可以远远较快地稳定等离子体,其结果在使用与ALD循环相关的非常短的等离子体激励时可能是重要的。
在一些实施方式中,基座408可通过加热器410进行温控。另外,在一些实施方式中,对于处理装置400的压力控制可通过诸如蝶形阀418之类的一个或多个阀操作的真空源来提供。如图4A的实施方式中所示,蝶形阀418调节由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理装置400的压力控制也可以通过改变引入到处理室402的一种或多种气体的流率进行调整。在一些实施方式中,一个或多个阀操作的真空源-诸如蝶形阀418-可以用于在合适的ALD操作阶段期间从处理站周围的容积去除膜前体。
现在回到图4B,如上所述,一个或多个处理站可以包括在多站式衬底处理工具中。图4B示意性地示出了多站处理工具440的示例,其包括在公共低压处理室454中的多个处理站441、442、443、444。通过将每个站保持在低压环境中,可避免在膜沉积处理之间的真空中断所引起的缺陷。
如在图4B中所示,多站式衬底处理工具440具有衬底装载端口460以及衬底搬运机械手443,所述衬底搬运机械手443被配置为将衬底从通过晶舟448装载的盒移动穿过大气端口449,进入处理室445,并且最后到达处理站上。具体而言,在这种情况下,衬底搬运机械手446在处理站441和442装载衬底,并且衬底传送设备(在这种情况下,衬底转盘490)在各处理站441、442、443以及444之间传送衬底。在图4B中所示的实施方式中,衬底装载设备被描绘为具有用于衬底操作的2个臂的衬底搬运机械手446,因此,如所描绘的,它可以在两个站441和442(也许同时,或者也许按顺序地)装载衬底。然后,在站441和442装载之后,衬底传送设备(在图4B中所描绘的转盘490)可以做180度的旋转(绕其中心轴线,中心轴线基本上垂直于衬底的平面(从页面出来),并在衬底之间基本上等距)以从站441和442传送两个衬底到站443和444。在这一点上,搬运机械手446可在站441和442装载2个新衬底,完成装载过程。为了卸载,可以颠倒这些步骤,除了下列操作以外:如果要处理多组的4个晶片,那么每次通过搬运机械手446卸载2个衬底将伴随在将传送转盘490转动180度之前装载2个新的衬底。类似地,被配置成放置衬底在仅1站(例如441)的独臂搬运机械手将在伴随转盘490旋转90度4次的4步装载工艺中使用以在所有4个站装载衬底。
所描绘的在图4B中示出的处理室445提供四个处理站441、442、443和444。每个站具有加热的基座(对于在图4A所示的处理站,以408示出)和气体管线入口。应当理解,在一些实施方式中,每个处理站可以具有不同的目的或多个目的。例如,在一些实施方式中,处理站可以在ALD处理模式和CVD/PECVD处理模式之间切换。另外或替代地,在一些实施方式中,处理室445可包括一对或多对匹配的ALD/CVD/PECVD工艺站。虽然所描绘的处理室包括四个处理站,但是应当理解,根据本公开的处理室可以具有任何适当的数目的站。例如,在一些实施方式中,处理室可具有1个、或2个、或3个、或4个、或5个、或6个、或7个、或8个、或9个、或10个、或11个、或12个、或13个、或14个、或15个、或16个、或更多的处理站(或成组的实施方式可以被描述为每个反应室具有在由任何成对的前述值所限定的范围内的处理站的数量,例如每个反应室具有2至6个处理站,或每个反应室具有4至8个处理站,或每个反应室8至16个处理站等)。
如上所述,图4B描绘了用于在处理室445内的处理站441、442、443和444之间传送衬底的衬底传送装置490的实施方式。应当理解,可以采用任何合适的衬底传送装置。非限制性的示例包括晶片转盘和衬底搬运机械手。
蚀刻处理装置的描述
本发明公开的微波天线的相控阵和微波定向和聚焦技术也可以在蚀刻工艺中使用,并且因此在蚀刻处理装置中使用。用于实现半导体衬底蚀刻操作的合适的装置可以包括:包含在多站式衬底处理工具(如下所述)中的一个或多个处理站/模块;以及控制器(如下所述),该控制器具有(或访问)用于根据本发明所述的技术和操作来控制装置的处理操作的机器可读指令。
因此,如在下面描述的各种电容耦合等离子体(CCP)和感应耦合等离子体(ICP)反应器的背景中更具体地描述的,适当的衬底处理装置通常可以包括处理室、等离子体产生器、被配置用于使气体流入处理室的一个或多个气流入口、真空泵、通向真空泵的阀控制导管、微波天线的相控阵(PAMA)以及用于控制这些部件的操作的控制器。在一些实施方式中,这种装置可以进一步包括用于测量在其处理室中形成的等离子体的发射强度的光学检测器,并且由前述装置实现的处理模块可以访问用于使用该装置测量在半导体衬底上蚀刻的特征的蚀刻轮廓的计量工具。以下描述更详细地说明了合适的蚀刻室。
在蚀刻操作中使用的电容耦合等离子体反应器
电容耦合等离子体(CCP)反应器在以下专利中有描述:于2009年2月9日提交的、名称为“ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERALBELLOWS AND NON-CONTACT PARTICLE SEAL”的美国专利No.8,552,334,即美国专利申请No.12/367,754,以及2014年11月12日提交的名称为“ADJUSTMENT OF VUV EMISSION OF APLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS”的美国专利申请14/539,121,其每一个通过引用整体并入本发明以用于所有目的。
例如,图6A-6C示出了可调节间隙电容耦合约束的RF等离子体反应器600的实施方式。如所描绘的,真空处理室602包括室壳体604,室壳体604围绕容纳下电极606的内部空间。在室602的上部,上电极608与下电极606竖直隔开。上电极608和下电极606(被配置用于产生等离子体)的平坦表面基本平行并垂直于电极间的竖直方向。优选地,上电极608和下电极606是圆形的,并且相对于竖直轴线同轴。上电极608的下表面朝向下电极606的上表面。相对电极间隔开的表面限定其间存在的可调节间隙610。在产生等离子体期间,下电极606由RF功率源(匹配)620供给RF功率。RF功率通过RF供应管线622、RF带624和RF功率构件626被供给到下电极606。接地屏蔽件636可以围绕RF功率构件626,以供应更均匀的RF场到下电极606。如在美国专利公布No.2008/0171444(其全部内容通过引用并入本发明以用于所有目的)中描述的,晶片通过晶片端口682***并支撑在在下电极606上的间隙610中以供处理,工艺气体被供给到间隙610并由RF功率激发成等离子体状态。上电极608可被供电或接地。
在图6A-图6C中所示的实施方式中,下电极606被支撑在下电极支撑板616上。插在下电极606和下电极支撑板616之间的绝缘环614使下电极606与支撑板616绝缘。RF偏置壳体630将下电极606支撑在RF偏置壳体盆632上。盆632穿过在室壁板618中的开口通过RF偏置壳体630的臂634连接到导管支撑板638。在优选实施方式中,RF偏置壳体盆632和RF偏置壳体臂634一体地形成为一个部件,但是,臂634和盆632也可以是栓接或接合在一起的两个分离的部件。
RF偏置壳体臂634包括一个或多个中空通路以用于传递RF功率和设施,诸如气体冷却剂、液体冷却剂、RF能量、用于升降销控制的电缆、电气监测和启动从真空室602外到真空室602内在下电极606的背面上的空间的信号。RF供应导管622与RF偏置壳体臂634绝缘,该RF偏置壳体臂634提供用于RF功率到RF功率源620的返回路径。设施管道640提供用于设施组件的通道。该设施组件的进一步的细节在美国专利No.5948704和美国专利公布No.2008/0171444(两者的全部内容通过引用并入本发明以用于所有目的)中描述,并且为了描述的简单这里未示出。间隙610优选地由约束环组件(未示出)包围,其中的细节可以在美国专利公布No.2007/0284045(其全部内容通过引用并入本发明以用于所有目的)中找到。
导管支撑板638被连接到致动机构642。致动机构的细节在美国专利公布No.2008/0171444(其全部内容通过引用并入本发明以用于所有目的)中有描述。致动机构642,例如伺服机械电机、步进电机或类似物,通过例如螺旋齿轮646(如滚珠丝杠)和用于转动滚珠丝杠的马达连接到竖直线性轴承644。在调整间隙610的大小的操作过程中,致动机构642沿着竖直线性轴承644行进。图6A示出了当致动机构642在线性轴承644上处于产生小的间隙610a的高的位置时的布置。图6B示出了当致动机构642处于在线性轴承644上中间的位置时的布置。如图所示,下电极606、RF偏置壳体630、导管支撑板638、RF功率源620均相对于室壳体604和上电极608向下移动,从而产生中等大小的间隙610b。
图6C示出了当驱动机构642处于在线性轴承上的低的位置时的大的间隙610c。优选地,上电极608和下电极606在间隙调整期间保持同轴并且跨越间隙的上电极和下电极的相对表面保持平行。
例如,为了保持跨越大直径衬底(例如300毫米晶片或平板显示器)的均匀蚀刻,本实施方式使得在多步骤蚀刻工艺配方期间在CCP室602中上电极608和下电极606之间的间隙610能进行调节。特别地,该实施方式涉及一种机械装置,该机械装置促进提供下电极606和上电极608之间可调的间隙所需的直线运动。
图6A示出了在导管支撑板638的近端并在室壁板618的阶梯式凸缘628的远端密封的横向偏转的波纹管650。阶梯式凸缘的内径限定室壁板618中的开口612,RF偏置壳体臂634通过开口612。横向偏转的波纹管650提供真空密封,同时允许RF偏置壳体630、导管支撑板638和致动机构642的竖直移动。RF偏置壳体630、导管支撑板638和致动机构642可以被称为悬臂组件。优选地,RF功率源620与该悬臂组件一起移动并可以连接到导管支撑板638。图6B示出了当悬臂组件在中间位置时处于中间位置的波纹管650。图6C示出了当悬臂组件处于低的位置时横向偏转的波纹管650。
迷宫式密封件648提供了波纹管650和等离子体处理室壳体604的内部之间的颗粒屏障。固定屏蔽件656在室壁板618处不可移动地连接到室壳体604的内壁内,以便提供迷宫式槽660(狭缝),其中可移动屏蔽板658竖直移动,以适应悬臂组件的竖直移动。可移动屏蔽板658的外部在下电极606的所有竖直位置保持在狭缝中。
在示出的实施方式中,迷宫式密封件648包括在限定迷宫式槽660的室壁板618的开口612的***连接到室壁板618的内表面上的固定屏蔽件656。可动屏蔽板658连接RF偏置壳体臂634并从该RF偏置壳体臂634径向延伸,其中臂634穿过该室壁板618中的开口612。可动屏蔽板658延伸进入迷宫式槽660,同时与固定屏蔽件656间隔开第一间隙,并与室壁板618的内表面间隔开第二间隙,从而使得悬臂组件能竖直移动。迷宫式密封件648阻止从波纹管650剥落的颗粒进入真空室内部605,并阻挡来自工艺气体等离子体的自由基迁移到波纹管650,在波纹管650中自由基可以形成随后剥落的沉积物。
图6A示出了当悬臂组件处于高位置(小的间隙610a)时在RF偏置壳体臂634上方的迷宫式槽660中较高的位置处的可移动屏蔽板658。图6C示出了当悬臂组件处于低位置(大的间隙610c)时在RF偏置壳体臂634上方的迷宫式槽660中较低位置处的可移动屏蔽板658。图6B示出了当悬臂组件处于中间位置(中等的间隙610b)时在迷宫式槽660内中部或中间位置处的可移动屏蔽板658。尽管迷宫式密封件648被示出为相对于RF偏置壳体臂634是对称的,但在其他实施方式中迷宫式密封件648相对于RF偏置壳体臂634可以是不对称的。
在蚀刻操作中使用的感应耦合等离子体反应器
如上所述,本发明公开的微波天线的相控阵(PAMA)和微波聚焦技术也可以在感应耦合等离子体(ICP)反应器中采用,以再次调节和/或控制衬底表面附近的局部等离子体密度。ICP反应器的甚至进一步的描述可以在以下文献中找到:2013年12月10日提交的、名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利公布No.2014/0170853,以及2014年11月12日提交的、名称为“ADJUSTMENT OF VUV EMISSION OFA PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS”的美国专利申请No.14/539,121,其每一个通过引用整体并入本发明以用于所有目的。
例如,图7示意性地示出了适于实施本发明的某些实施方式的感应耦合等离子体集成蚀刻装置700的横截面图,其示例是Kiyo TM反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体装置700包括在结构上由室壁701和窗711限定的总处理室。室壁701可以由不锈钢或铝制成。窗711可以由石英、陶瓷或其他介电材料制成。任选的内部等离子体栅格750将总蚀刻室分为上副室702和下副室703。在大多数实施方式中,等离子体栅格750可以被移除,从而利用由副室702和703制成的室空间。卡盘717定位在下副室703中在底部内表面附近。卡盘717被配置成接收和保持在其上执行蚀刻工艺的半导体晶片719。卡盘717可以是当晶片719存在时用于支撑晶片719的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘717,并具有大致与晶片719(当晶片存在于卡盘717上方时)的顶表面在同一平面的上表面。卡盘717还包括用于夹紧和放松晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制***以用于提升晶片719使其离开卡盘717。卡盘717可以用RF功率源723充电。RF功率源723通过连接件727被连接到匹配电路721。匹配电路721通过连接件725连接到卡盘717。以这种方式,RF功率源723被连接到卡盘717上。
用于等离子体产生的元件包括位于窗711上方的线圈733。线圈733由导电材料制成,并包括至少一整匝。在图7中所示的线圈733的示例包括三匝。线圈733的横截面用符号示出,并且具有“X”的线圈733旋转地延伸到页面内,而具有“●”的线圈733从页面旋转地延伸出来。用于等离子体产生的元件还包括被配置为提供RF功率至线圈733的RF功率源741。一般地,RF功率源741通过连接件745被连接到匹配电路739。匹配电路739通过连接件743连接到线圈733。以这种方式,RF功率源741被连接到线圈733。可选的法拉第屏蔽件749被定位在线圈733和窗711之间。法拉第屏蔽件749以相对于线圈733隔开的关系被保持。法拉第屏蔽件749被设置在窗711的正上方。线圈733、法拉第屏蔽件749和窗711各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室的电介质窗上
工艺气体(例如氦气、氖气、蚀刻剂等)可以通过位于上室的一个或更多个主气流入口760和/或通过一个或更多个侧气流入口770流入处理室。同样,虽然未明确示出,但是类似的气流入口可用于向如6A-6C所示的电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵740,可用于将工艺气体从处理室724抽出并维持处理室700内的压力。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作的等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用图6A-6C中的受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口760和/或770供给。在某些实施方式中,工艺气体可以仅通过主气体流入口760供给,或者仅通过侧气体流入口770供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件749和/或任选的栅格750可以包括使工艺气体能输送至室的内部通道和孔。法拉第屏蔽件749和/或任选的栅格750中的一者或两者可以作为用于输送工艺气体的喷头。
射频功率从RF功率源741供给到线圈733以使RF电流流过线圈733。流过线圈733的RF电流产生围绕线圈733的电磁场。电磁场产生在上副室702内的感应电流。所生成的各种离子和自由基与晶片719的物理和化学相互作用选择性地蚀刻晶片上的特征。
如果使用等离子体网格使得存在上副室702和下副室703二者,则感应电流作用于存在于上副室702中的气体上以在上副室702中产生电子-离子等离子体。任选的内部等离子体栅格750限制下副室703中的热电子的量。在一些实施方式中,设计和操作所述装置使得存在于下副室703中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,尽管离子-离子等离子体将具有更大的阴离子:阳离子的比率。挥发性的蚀刻的副产物可通过端口722从下副室703去除。
本发明所公开的卡盘717可在约10℃至约250℃之间的升高的温度范围内操作。该温度将取决于蚀刻工艺操作和具体配方。在一些实施方式中,室701还可在介于约1毫托和约95毫托之间的范围内的压强下操作。在某些实施方案中,压强可以是较高的,如上所公开的。
室701可以在安装于干净的房间或制造设施中时耦合在设施(未示出)上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合在室701上。此外,室701可耦合在传送室上,从而允许使用典型的自动化由机械手进出室701传送半导体晶片。
在一些实施方式中,***控制器730(例如,如下所述,其可包括一个或更多个物理或逻辑控制器)可以控制蚀刻室的一些或所有操作,这些操作包括与处理站相关联的微波天线的一个或多个相控阵的操作,包括控制从PAMA中的每个天线发射的微波辐射的相位和/或幅值和/或方向,以提供一个或多个可操纵的用于调节和/或控制局部等离子体密度(和反应速率)的微波辐射束,如上所述。***控制器730可包括一个或更多个存储器设备和一个或更多个处理器。
具有集成计量工具的群集工具
图8描绘了具有各种与真空传送模块838(VTM)接口的模块的半导体工艺群集工具800。在多个存储设备和处理模块之间“传送”晶片的传送模块装置可以被称为“群集工具架构”***。气密室830(也被称为装载锁或传送模块)与四个处理模块820a-820d在VTM 838示出,其可以被单独优化以执行各种制造工艺。
例如,处理模块820a-820d可以被实现以执行衬底蚀刻(例如通过ALE工艺蚀刻单维和二维的图案)、沉积(例如通过原子层沉积(ALD)工艺沉积共形膜)、离子注入、晶片清洁、晶片平面化、溅射和/或其他半导体工艺。因此,例如,处理模块可以是感应耦合等离子体反应器(如上所述)或电容耦合等离子体反应器(也如上所述)。
在一些实施方式中,衬底处理模块中的一个或多个(820a-820d中的任何一个)可以专用于获取晶片计量数据,这些数据可以用作调节和/或控制群集工具上的其他晶片处理模块的操作的基础。例如,晶片计量工具模块可以在蚀刻操作之后测量一个或多个衬底特征的一个或多个属性,然后可以使用所得到的数据来调整在群集工具上发生的进一步蚀刻操作中的工艺参数(例如,诸如在用于激活ALE工艺的等离子体中的氦和氖的相对比例)。在某些这样的实施方式中,由计量模块/工具测量的衬底特征可以是半导体衬底的特征的蚀刻轮廓。
在与图8所示的群集工具类似的群集工具上执行的一些蚀刻操作中,可以在蚀刻操作期间进行测量,并且可以分析测量结果,以便确定在相同蚀刻进行期间和/或在随后的蚀刻操作(例如,在不同的衬底上)中时如何调整和/或控制一个或多个工艺参数。例如,感应耦合等离子体反应器或电容耦合等离子体反应器可以使用光学检测器来测量来自一个或多个可见光、红外、紫外(UV)和/或真空紫外(VUV)发射带的发射强度,例如,来自用于激活ALE表面反应的等离子体的发射强度。在一些实施方式中,可以分析并使用所测得的发射强度来调节在如本发明所述的ALE操作中使用的氦-氖等离子体中的氦和氖的相对浓度。
再次参考图8,气密室830和处理模块820a-820d可以被称为“站”。每个站具有将站与VTM 838连接的小面836(facet 836)。在每个小面内部,传感器1-18被用于在晶片826于相应站之间移动时检测晶片826的通过。机械手822将晶片在站之间传输。在一个实施方式中,机械手具有一个臂,而在另一实施方式中,机械手822具有两个臂,其中每个臂具有端部执行器824以拾取晶片(例如晶片826)以用于运输。在大气传送模块(ATM)840中,前端机械手832可以用于从在装载端口模块(LPM)842中的晶片盒或前开式晶片盒(FOUP)834传送晶片826到气密室830。处理模块820内的模块中心828是用于放置晶片的地方。在ATM 840中的对准器844用于对准晶片。
在处理序列的一示例中,晶片被放置在LPM 842中的多个FOUP834中的一个中。前端机械手832将晶片从FOUP 834传送到对准器844,其允许晶片826在被蚀刻或处理之前适当地居中。对准后,晶片826由前端机械手832移动到气密室830内。由于气密室模块具有匹配ATM和VTM之间的环境的能力,因此晶片826能够在两个压强环境之间移动而不被破坏。从气密室模块830,晶片通过机械手822移动通过VTM 838并进入处理模块820a-820d中的一个。为了实现这种晶片移动,机械手822在其每一个臂上使用端部执行器824。一旦晶片826已经被处理,就将其由机械手822从处理模块820a-820d移动到气密室模块830。从这里,晶片826可以由前端机械手832移动到FOUP 834中的一个或对准器844。
应当注意,***控制器(如下所述)可以用于控制群集工具的操作(例如,控制群集工具上的各个站之间的衬底移动)。***控制器对于群集架构可以是本地的,或者它可以位于在制造工厂中的群集工具的外部,或在远程位置并通过网络连接到群集工具。
***控制器
***控制器可以用于控制任何上述处理设备中的沉积或蚀刻操作(或其它处理操作)。具体地,***控制器可以控制与处理站相关联的微波天线的一个或多个相控阵的操作,包括控制从相控阵中的每个天线发射的微波辐射的相位和/或幅值和/或方向,以提供一个或多个可操纵的用于调节和/或控制局部等离子体密度(和反应速率、沉积或蚀刻)的微波辐射束,如上所述。
因此,例如,相对于沉积操作,例如图4B中所示的,***控制器450可用于控制处理工具440及其处理站的处理条件和硬件状态。***控制器450可包括一个或多个存储器设备456、一个或多个大容量存储设备454以及一个或多个处理器452。处理器452可以包括一个或多个CPU、ASIC、通用计算机和/或专用计算机、一个或多个模拟和/或数字输入/输出连接件、一个或多个步进电机控制器板等。
类似地,可以相对于半导体衬底蚀刻装置(无论其构成CCP还是ICP反应器)采用***控制器;并且类似地,这样的***控制器可以控制与蚀刻反应器的一个或多个处理站相关联的微波天线的一个或多个相控阵的操作,包括控制从相控阵中的每个天线发射的微波辐射的相位和/或幅值和/或方向,以提供一个或多个可操纵的用于调节和/或控制局部等离子体密度的微波辐射束,如上所述。
因此,图8描绘了用于控制蚀刻处理工具800及其工艺站的工艺条件和硬件状态的***控制器850的实施方式。***控制器850可以包括一个或多个存储器设备856、一个或多个大容量存储设备854和一个或多个处理器852。处理器852可以包括一个或多个CPU、ASIC、通用计算机和/或专用计算机、一个或多个模拟和/或数字输入/输出连接件、一个或多个步进马达控制器板等。
在一些实施方式中,***控制器(图4B中的450;图8中的850)控制处理工具(图4B中的440;图8中的800)的包括其单个处理站的操作在内的操作中的一些或全部。可以提供机器可读***控制指令(图4B中的458;图8中的858)以实施/执行本发明所述的膜沉积和/或蚀刻工艺。指令可以被设置在可以耦合到***控制器和/或由***控制器读取的机器可读的、非暂时性介质上。可以在处理器(图4B中的452;图8中的452)上执行指令,在一些实施方式中,从大容量存储设备(454、854)加载到存储器设备(456、856)中的***控制指令。***控制指令可以包括用于控制气体和液体反应物的时序、混合,室和/或站压力,室和/或站温度,晶片温度,目标功率电平,RF功率电平(例如,DC功率水平,RF偏置功率电平),RF暴露时间,衬底基座,卡盘和/或感受器位置,以及由处理工具执行的特定处理的其它参数。其还可以包括用于操作与处理站相关联的微波天线的一个或多个相控阵的指令,如上所述。
半导体衬底处理操作可以采用各种类型的工艺,这些工艺包括但不限于与在衬底上的膜蚀刻(该蚀刻例如通过涉及表面吸附的蚀刻剂的等离子体活化的原子层蚀刻(ALE)操作进行,参见,2014年11月12日提交的名称为“ADJUSTMENT OF VUV EMISSION OF APLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS”的美国专利申请No.14/539,121,其通过引用整体并入本发明以用于所有目的)相关的工艺、沉积工艺(例如原子层沉积(ALD),其通过等离子体活化表面吸附膜前体进行)、以及其他类型的衬底处理操作。
因此,例如,关于用于执行基于等离子体的蚀刻或沉积工艺的具有微波天线的一个或多个相控阵的衬底处理装置,由***控制器执行的机器可读指令可以包括用于操作被配置成产生在所述处理室内的等离子体的等离子体产生器,以及用于操作被配置成将微波辐射束发射到所述室中并因此影响所述处理室内的等离子体的微波天线的一个或多个相控阵的指令。在一些实施方式中,控制器可以操作微波天线的一个或多个相控阵,以便引导所发射的微波辐射束。控制器可以通过改变从所述一个或多个相控阵中的两个或更多个天线发射的微波辐射的相对相位来进行这样的操作。控制器还可以改变从所述一个或多个相控阵中的两个或更多个天线发射的微波辐射的相对幅值。另外,在一些实施方式中,衬底处理装置可以具有用于测量从在基于等离子体的处理操作中使用的等离子体发出的光的光学检测器,并且控制器可以操作光学检测器以测量等离子体的发射频带的发射强度,并且在某些这样的实施方式中,响应于所述测量,改变从相控阵发射的微波辐射的相位和/或幅值,和/或方向(和/或也调整其它工艺条件)。
***控制指令(图4B中的458;图8中的858)可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制对象可以被写入以控制执行各种处理工具的进程所需要的处理工具组件的操作。***控制指令可以以任何合适的计算机可读编程语言进行编码。在一些实施方式中,***控制指令在软件中实现,在其他实施方式中,指令可在硬件中实现,例如,作为逻辑硬编码在ASIC(专用集成电路)中,或者,在其他实施方式中,作为软件和硬件的组合实现。
在一些实施方式中,***控制软件(图4B中的458;图8中的858)可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,一个或者多个沉积和/或蚀刻处理的每个阶段可以包括用于由***控制器执行的一个或多个指令。用于设置膜沉积处理阶段和/或蚀刻处理阶段的处理条件的指令例如可以包括在相应的沉积配方阶段和/或蚀刻配方阶段中。在一些实施方式中,配方阶段可按顺序设置,以便处理阶段的所有指令与该处理阶段同时执行。
在一些实施方式中,可以采用存储在与***控制器850相关联的大容量存储设备854和/或存储器设备856上(或相对于图4B,在与***控制器450相关联的大容量存储设备454和/或存储器设备456上)的其它计算机可读指令和/或程序。程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的指令,该处理工具组件用于将衬底加载到基座(参见例如图4B中的408,还参见例如图5B中的508)上并控制衬底和处理工具的其它部件之间的间隔。该定位程序可以包括用于根据需要适当地移动衬底进出反应室以在衬底上沉积和/或蚀刻膜的指令。
工艺气体控制程序可包括用于控制气体组成和流率的指令和任选地用于使气体在沉积和/或蚀刻之前流到围绕一个或多个处理站的体积中以稳定在这些体积中的压强的指令。在一些实施方式中,工艺气体控制程序可以包括用于在衬底上沉积和/或蚀刻操作期间引入某些气体到围绕在处理室中的一个或多个处理站的体积内的指令。工艺气体控制程序还可以包括以相同速率在相同的期间、或者以不同的速率和/或在不同的期间输送这些气体的指令,具体取决于将被沉积的膜的组合物和/或所涉及的蚀刻工艺的特性。工艺气体控制程序还可以包括用于在加热的喷射模块中在存在氦或一些其它的载气的情况下雾化/汽化液体反应物的指令。
压强控制程序可以包括用于通过调节例如在处理站的排放***中的节流阀、流入处理站内的气流等等来控制处理站内的压强的指令。压强控制程序可以包括用于在衬底上沉积各种类型的膜和/或蚀刻衬底期间保持相同或不同的压强的指令。
加热器控制程序可包括用于控制流向用于加热衬底的加热单元的电流的指令。可替代地或附加地,加热器控制程序可控制传热气体(如氦)朝向衬底上的传送。加热器控制程序可包括在衬底上沉积各种类型的膜和/或蚀刻衬底期间用于在反应室和/或围绕处理站的体积内保持相同或不同的温度的指令。
等离子体控制程序可包括用于根据本发明的实施方式设置一个或多个处理站内的RF功率电平、频率和暴露次数的指令。在一些实施方式中,等离子体控制程序可以包括用于在衬底上沉积膜和/或蚀刻衬底期间使用相同或不同的RF功率电平和/或频率和/或暴露次数的指令。
在一些实施方式中,可以存在与***控制器相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由***控制器调节的参数会涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度(例如衬底支架和喷头温度)、压强、等离子体条件(例如,RF偏置功率电平和暴露次数)等。附加参数可以涉及从微波天线的一个或多个相控阵发射的微波辐射的幅值和相位。此外,参数可以涉及单独地控制从所述一个或多个相控阵中的每个天线发射的微波辐射的幅值和/或相位和/或方向。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器(MFC)、压力传感器(例如压力计)、热电偶之类的温度传感器、等等。在具有用于调节和/或控制晶片表面附近的局部等离子体密度的微波天线的一个或多个相控阵的蚀刻装置中,装置的传感器可以包括用于监测来自等离子体发出的光谱的光发射传感器,以便测量其密度和/或功率/电平。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
上面所描述的各种装置和方法可以与光刻图案化工具和/或工艺结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具将在普通的制造设施中一起和/或同时使用,或者此类工艺将在普通的制造设施中一起和/或同时执行。
在一些实施方案中,控制器是***的一部分,该***的一部分可以是上述实施例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动***等)。这些***可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些***的操作。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种组件或子部分。根据处理要求和/或***的类型,控制器可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制处理气体的输送、温度的设置(例如,加热和/或冷却)、压力的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置,流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定***的或与特定***接口的装载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是与各种单个的设置(或程序文件)形式的控制器通信的指令,该设置定义在半导体晶片上或用于半导体晶片或向***进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器可以是与***集成、耦接或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机传送到***。在一些实例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的***可以包括但不限于,等离子体蚀刻室或模块(使用感应或电容耦合等离子体)、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
ALD技术和沉积膜的另外的详细描述
如上文所讨论的,随着集成电路(IC)器件尺寸继续缩小以及IC发展到使用3-D晶体管和其它3-D结构,沉积精确数量(厚度)的共形膜材料(特别是电介质,而且还有各种含掺杂剂的材料)的能力已变得日益重要。原子层沉积(ALD)是一种用于实现共形膜沉积以得到所需厚度的膜的技术,该共形膜沉积通常涉及多个沉积循环。
相比于用被激活的气相反应来沉积膜的化学气相沉积(CVD)工艺,ALD工艺使用表面介导的沉积反应来逐层地沉积膜。例如,在一类ALD工艺中,第一膜前体(P1)以气相引入到处理室中、被暴露于衬底、并且能被吸附在衬底的表面上(通常在成群的表面活性位点处)。一些P1分子可以形成衬底表面上的凝聚相,其包括P1的化学吸附物质和物理吸附分子。然后,将衬底表面周围的容积抽空以去除气相和物理吸附的P1,使得只有化学吸附物质保留。随后第二膜前体(P2)可被引入到处理室中,使得一些P2分子吸附到衬底表面。可再将在处理室中衬底周围的体积抽空,这一次是去除未结合的P2。接着,提供到衬底的能量(例如,热能或等离子体能量)激活所吸附的P1和P2分子之间的表面反应,以形成膜层。最后,再次将衬底周围的容积抽空以去除未反应的P1和/或P2和/或反应副产物(如果存在的话),从而结束ALD的单个循环。
具有多种化学物质的用于沉积共形膜的ALD技术(以及基本ALD工艺序列的许多变化方案)在以下专利文献中进行了详细的描述:于2011年4月11日提交的、名称为“PLASMAACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(律师案卷号NOVLP405);于2011年9月23日提交的、名称为“PLASMA ACTIVATED CONFORMAL DIELECTRICFILM DEPOSITION”的美国专利申请No.13/242,084,现在的美国专利No.8,637,411(律师案卷号NOVLP427);于2011年9月1日提交的、名称为“PLASMA ACTIVATED CONFORMALDIELECTRIC FILM DEPOSITION”的美国专利申请No.13/224,240(律师案卷号NOVLP428);以及于2011年9月7日提交的、名称为“CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMICLAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美国专利申请No.13/607,386(律师案卷号NOVLP488),基于所有的目的将这些专利文献中的每一个的全部内容通过引用并入本发明。如在这些先前的申请中所描述的,用于在衬底上沉积单个材料层的基本的ALD循环可以包括:(i)吸附膜前体到在处理站处的衬底上使得膜前体形成吸附受限层;(ii)从处理站附近去除存在的未被吸附的前体(“未被吸附的前体”被定义为包含解吸的前体);(iii)使所吸附的前体反应以在衬底上形成膜层;以及(iv)将解吸的膜前体和/或反应副产物从处理站附近去除。在操作(ii)和(iv)中的去除可以通过将衬底周围的容积清扫、抽空、抽排到基准压强(“抽排至基压”)等来执行。在一些实施方式中,清扫气体可以与主等离子体进给气体相同。上述操作(i)至(iv)的顺序代表导致形成单层膜的单个ALD循环。然而,由于通过ALD形成的单层膜通常非常薄,通常仅仅是单分子厚,所以多个ALD循环依顺序重复以构建可观厚度的膜。因此,如果希望沉积例如N层的膜(或者等同地,可以例如膜的N层),则多个ALD循环(操作(i)至(iv))可以依顺序重复N次。
应注意的是,这种操作(i)到(iv)的基本的ALD序列不必然涉及如在上述的例子中所述的两种化学吸附的反应物质P1和P2,甚至也不会必然涉及第二反应性物质,但可以使用这些可能性/选项,具体取决于所涉及的所需的沉积化学物。
然而,由于ALD的吸附受限性质,所以ALD的单个循环仅沉积薄的材料膜,并且常常只有单个的材料单层。例如,根据膜前体投配操作的暴露时间和膜前体(至衬底表面)的粘着系数,每个ALD循环可沉积仅约0.5至3埃厚的膜层。因此,在典型的ALD循环中的操作的序列(刚才所描述的操作(i)到(iv))通常被重复多次,以便形成所需厚度的共形膜。因此,在一些实施方式中,操作(i)到(iv)连续地接连被重复至少1次,或至少2次,或至少3次,或至少5次,或至少7次,或至少10次。ALD膜可以按以下速率来沉积:约0.1埃和2.5埃或介于0.1埃和2.5埃之间/ALD循环,或者约0.2埃和2埃或介于0.2埃和2埃之间/ALD循环,或者约0.3埃和1.8埃或介于0.3埃和1.8埃之间/ALD循环,或者约0.5埃和1.5埃或介于0.5埃和1.5埃之间/ALD循环,或者约0.1埃和1.5埃或介于0.1埃和1.5埃之间/ALD循环,或者约0.2埃和1.0埃或介于0.2埃和1.0埃之间/ALD循环,或者约0.3埃和1.0埃或介于0.3埃和1.0埃之间/ALD循环,或者约0.5埃和1.0埃或介于0.5埃和1.0埃之间/ALD循环。
在某些成膜化学物中,除了使用被称为“膜前体”的物质外,也可使用辅助反应物或共反应物。在某些这样的实施方式中,在重复步骤(i)到(iv)时,在步骤(i)到(iv)的子步骤期间或者在(i)到(iv)中的每个步骤的整个过程中,可以使辅助反应物或共反应物连续地流动。在一些实施方式中,这种其它的活性化学物质(辅助反应物、共反应物等)可以在其与膜前体反应之前与该膜前体一起被吸附到衬底的表面上(如在上文描述的涉及前体P1和P2的实施例中),然而,在其它实施方式中,这种其它的活性化学物质可在与所吸附的膜前体接触时而本身没有事先吸附到衬底表面上的情况下与所吸附的膜前体发生反应。此外,在一些实施方式中,使所吸附的膜前体反应的操作(iii)会涉及使所吸附的膜前体与等离子体接触。等离子体可以提供能量以驱动在衬底表面上的成膜反应。在某些这样的实施方式中,等离子体可以是在施加合适的RF功率的情况下在反应室中产生的氧化性的等离子体(尽管在一些实施方式中,其可以远程产生)。在其他实施方式中,可以使用惰性等离子体代替氧化性等离子体。氧化等离子体可以由一种或多种氧化剂(例如O2、N2O或CO2)形成,并且可以任选地包括一种或多种稀释剂,例如Ar、N2或He。在一个实施方式中,氧化等离子体由O2和Ar形成。合适的惰性等离子体可以由一种或多种惰性气体(如He或Ar)形成。在刚刚引用的现有专利申请(并通过引用并入本发明)中详细描述了ALD工艺的进一步变化。
在一些实施方式中,所沉积的多层膜可以包括通过例如下述方式形成的交替组合物的区域/部分:共形地按顺序沉积具有一种组合物的多个层,接着共形地按顺序沉积具有另一种组合物的多个层,然后可以重复和交替这两个顺序。所沉积的ALD膜的这些方面中的一些例如描述在2012年9月7日提交的、名称为“CONFORMAL DOPING VIA PLASMA ACTIVATEDATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美国专利申请No.13/607,386(律师档案号No.NOVLP488)中,该专利申请其全部内容基于所有目的通过引用并入本发明。具有交替组合物的部分的共形膜(包括用于对下伏的目标IC结构或衬底区域进行掺杂的膜)的另外的实例以及形成这些膜的方法详细描述于下述文献中:于2011年4月11日提交的、名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(律师档案号NOVLP405);于2011年9月23日提交的、名称为“PLASMA ACTIVATEDCONFORMAL DIELECTRIC FILM DEPOSITION”的美国专利申请No.13/242,084,现在的美国专利No.8,637,411(律师档案号NOVLP427);于2011年9月1日提交的、名称为“PLASMAACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION”的美国专利申请No.13/224,240(律师档案号NOVLP428);于2012年9月7日提交的、名称为“CONFORMAL DOPING VIA PLASMAACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美国专利申请No.13/607,386(律师档案号NOVLP488);以及于2014年2月28日提交的、名称为“CAPPEDALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS”的美国专利申请No.14/194,549;这些专利文献中的每一个其全部内容基于所有目的通过引用并入本发明。
如在上面参考的详述中具体描述的,ALD工艺常常可以用于沉积共形氧化硅(SiOx)膜,然而ALD工艺也可用于沉积其它化学物质的共形介电膜,如也在前述并入的说明书中所公开的。在一些实施方式中,ALD形成的介电膜可以包含碳化硅(SiC)材料、氮化硅(SiN)材料、碳氮化硅(SiCN)材料或它们的组合。在某些ALD形成的膜的变体中也可以形成硅-碳-氧化物和硅-碳-氧氮化物以及硅-碳-氮化物。用于沉积这些类型的膜的方法、技术和操作在下述专利文献中进行了详细描述:于2012年6月12日提交的、名称为“REMOTEPLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS”的美国专利申请No.13/494,836,律师档案号NOVLP466/NVLS003722;于2013年5月31日提交的、名称为“METHOD TO OBTAIN SiCCLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES”的美国专利申请No.13/907,699,律师档案号LAMRP046/3149;名称为“GROUND STATE HYDROGEN RADICALSOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS”的美国专利申请No.14/062,648;以及于2014年2月28日提交的、名称为“CAPPED ALD FILMS FORDOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS”的美国专利申请No.14/194,549;这些专利文献中的每一个其全部内容基于所有目的通过引用并入本发明。
经由ALD沉积膜的其它实例包括在上文所列出且通过引用并入的专利申请(美国专利申请No.13/084,399、13/242,084、13/224,240、以及14/194,549)中描述的用于沉积含掺杂剂膜的化学物质。如其中所述,可以使用各种含掺杂剂的膜前体来形成含掺杂剂的膜,例如硼掺杂硅酸盐玻璃(BSG)、磷掺杂硅酸盐玻璃(PSG)、硼磷掺杂硅酸盐玻璃(BPSG)、砷(As)掺杂的硅酸盐玻璃(ASG)等。含掺杂剂的膜可以包括B2O3、B2O,P2O5、P2O3、As2O3、As2O5等。因此,具有除硼以外的掺杂剂的含掺杂剂的膜是可行的。实例包括镓、磷或砷掺杂剂或适于掺杂半导体衬底的其它元素,例如其它价态III和V族元素。
对于ALD工艺条件,ALD工艺可以在各种温度下进行。在一些实施方式中,ALD反应室内的合适温度可以介于约25℃和450℃之间,或介于约50℃和300℃之间,或介于约20℃和400℃之间,或介于约200℃和400℃之间,或介于约100℃和350℃之间。
同样,ALD工艺可在各种ALD反应室压强下进行。在一些实施方式中,反应室内的合适压强可介于约10mTorr与10Torr之间,或介于约20mTorr与8Torr之间,或介于约50mTorr与5Torr之间,或介于约100mTorr与2Torr之间。
各种RF功率电平如果在操作(iii)中使用,则可以采用来产生等离子体。在一些实施方式中,合适的RF功率可以介于约100W和10kW之间,或者介于约200W和6kW之间,或者介于约500W和3kW之间,或者介于约1kW和2kW之间。
在操作(i)中可以采用各种膜前驱体流率。在一些实施方式中,合适的流率可以为约0.1mL/min至10mL/min或介于0.1mL/min至10mL/min之间,或约0.5mL/min至5mL/min或介于0.5mL/min至5mL/min之间,或约1mL/min至3mL/min或介于1mL/min至3mL/min之间。
在各种操作中,可以使用各种气体流率。在一些实施方式中,一般气体流率可以为约1L/min至20L/min或介于1L/min至20L/min之间,约2L/min至10L/min或介于2L/min至10L/min之间。对于操作(ii)和(iv)中任选的惰性清扫步骤,所用的脉冲式流率可以为约20L/min至100L/min或介于20L/min至100L/min之间,或约40L/min至60L/min或介于40L/min至60L/min之间。
再次,在一些实施方式中,抽排到基压步骤是指通过将反应室直接暴露于一个或多个真空泵而将反应室抽排到基本压强。在一些实施方式中,基本压强通常可以只有几毫托(例如,介于约1和20mTorr之间)。此外,如上所述,抽排到基压步骤可以伴随或可以不伴随惰性清扫,因此当一个或多个阀打开通向真空泵的传导路径时,载气可以流动或可以不流动。
此外,再次,可重复多个ALD循环以建立堆叠的共形层。在一些实施方式中,每一层可具有基本上相同的组合物,而在其它实施方式中,按顺序ALD沉积的层可以具有不同的组合物,或在某些这样的实施方式中,组合物可一层一层地交替变换或可以存在重复序列的具有不同组合物的层,如上所述。因此,根据实施方式,可以使用诸如在所列出且通过引用并入的专利申请(美国专利申请No.13/084,399、13/242,084以及13/224,240)中公开的那些堆叠设计构思之类的某些堆叠设计构思来调整这些膜中的硼、磷或砷的浓度。
光刻图案化
上述各种装置和方法可以与光刻图案化工具和/或工艺结合使用,例如以制造或制备半导体器件、显示器、LED、光伏板等。通常,尽管不是必需,将在共同的制造设施中一起和/或同时地使用这样的工具或进行这样的工艺。
光刻图案化膜通常包括以下操作中的一些或全部,每个操作能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在衬底上,例如涂覆在上面形成有氮化硅膜的衬底上;(2)使用热板或炉或其它合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台或喷射显影器之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的刻蚀工具将抗蚀剂图案转移到下伏膜或衬底;以及(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
其他实施方式
尽管为了促进清楚和理解的目的,在具体实施方式的背景下,已经详细描述了前述公开的技术、操作、处理、方法、***、装置、工具、膜、化学品和组合物,但对于本领域的普通技术人员而言,显而易见的是,存在许多实施前述实施方式的落入本发明的主旨和范围内的替代方式。因此,本发明所描述的实施方式应被看作是说明本发明公开的创造性构思,而不是限制,并且不应被用作不适当地限制最终指向本发明的主题的任何权利要求的范围的不允许的基础。

Claims (10)

1.一种微波天线的相控阵,其包括基本在平面上布置的5-256个微波天线,相邻天线之间的平均间隔为0.1-150cm。
2.根据权利要求1所述的相控阵,其中所述基本在平面上布置的天线包括若干基本上同心的圆形天线组,所述圆形天线组的最外侧具有275-325mm的直径。
3.根据权利要求2所述的相控阵,其中所述基本在平面上布置的天线包括3-24个基本同心的圆形天线组。
4.一种微波天线的相控阵,其包括相对于彼此基本上圆筒形布置的8-256个微波天线,所述圆筒形布置的高度为5-500mm,并且所述圆筒形布置的直径为300-600mm。
5.根据权利要求4所述的相控阵,其中所述圆筒形布置的所述高度为100-300mm,而所述圆筒形布置的所述直径为350-450mm。
6.根据权利要求5所述的相控阵,其中所述相邻天线之间的平均间隔为0.1-150cm。
7.根据权利要求6所述的相控阵,其中所述圆筒形布置包括堆叠的若干组基本上圆形布置的天线。
8.根据权利要求7所述的相控阵,其中所述圆筒形布置包括堆叠的3-7组基本上圆形布置的天线。
9.一种修改处理室内的半导体衬底上的反应速率的方法,所述方法包括:
-激励在处理室内的等离子体;
-从微波天线的相控阵发射微波辐射束;以及
-将所述辐射束引导到所述等离子体中,以引起所述处理室内的半导体衬底的表面上的反应速率的变化。
10.根据权利要求9所述的方法,其还包括:
-操纵被引导到所述等离子体中的微波能量束,以便修改对所述等离子体的密度的影响。
CN201610986559.5A 2015-11-09 2016-11-09 用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改 Pending CN106972281A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/936,437 US20170133202A1 (en) 2015-11-09 2015-11-09 Computer addressable plasma density modification for etch and deposition processes
US14/936,437 2015-11-09

Publications (1)

Publication Number Publication Date
CN106972281A true CN106972281A (zh) 2017-07-21

Family

ID=58668196

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610986559.5A Pending CN106972281A (zh) 2015-11-09 2016-11-09 用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改

Country Status (5)

Country Link
US (1) US20170133202A1 (zh)
JP (1) JP2017103454A (zh)
KR (1) KR20170058272A (zh)
CN (1) CN106972281A (zh)
TW (1) TW201728780A (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110502049A (zh) * 2019-08-30 2019-11-26 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制***及半导体设备
CN112509900A (zh) * 2019-09-13 2021-03-16 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN112735934A (zh) * 2019-10-28 2021-04-30 东京毅力科创株式会社 控制方法和等离子体处理装置
CN112788826A (zh) * 2019-11-05 2021-05-11 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN113508190A (zh) * 2019-02-25 2021-10-15 康宁股份有限公司 多喷淋头化学气相沉积的反应器、方法及产品
CN113544825A (zh) * 2019-03-19 2021-10-22 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN114107950A (zh) * 2020-08-28 2022-03-01 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN116190190A (zh) * 2023-04-25 2023-05-30 季华实验室 自动阻抗匹配方法、装置、***、电子设备及存储介质
TWI805126B (zh) * 2021-01-04 2023-06-11 大陸商江蘇魯汶儀器有限公司 電漿密度控制系統及方法

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10153133B2 (en) 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10651017B2 (en) * 2016-06-30 2020-05-12 Tokyo Electron Limited Method for operation instability detection in a surface wave plasma source
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR102439682B1 (ko) 2017-01-18 2022-09-01 어플라이드 머티어리얼스, 인코포레이티드 고속 이미징에 의한 플라즈마 파라미터들 및 스큐 특성화
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10790118B2 (en) * 2017-03-16 2020-09-29 Mks Instruments, Inc. Microwave applicator with solid-state generator power source
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
CN111295734A (zh) * 2017-10-31 2020-06-16 朗姆研究公司 增加反应器处理批量大小的方法和设备
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US10943768B2 (en) 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102088596B1 (ko) * 2018-07-09 2020-06-01 램 리써치 코포레이션 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11388809B2 (en) * 2019-03-25 2022-07-12 Recarbon, Inc. Systems for controlling plasma reactors
JP7221115B2 (ja) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20200143254A (ko) * 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US10857573B1 (en) * 2019-06-18 2020-12-08 The Boeing Company Methods and apparatuses for clearing particles from a surface of an electronic device using skewed waveforms to eject debris by way of electromagnetic propulsion
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP2021107570A (ja) * 2019-12-27 2021-07-29 住友重機械工業株式会社 成膜装置
JP7394632B2 (ja) 2020-01-16 2023-12-08 東京エレクトロン株式会社 アレーアンテナ及びプラズマ処理装置
DE102020113578A1 (de) * 2020-05-19 2021-11-25 Muegge Gmbh Mikrowellenbehandlungseinrichtung
JP2022039821A (ja) * 2020-08-28 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および誘電体窓
CN116034458A (zh) * 2020-09-01 2023-04-28 朗姆研究公司 减少晶片斜面边缘等离子体处理的电弧发生
EP4373992A1 (en) * 2021-07-21 2024-05-29 Lam Research Corporation Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0459177A2 (en) * 1990-06-01 1991-12-04 International Business Machines Corporation Solid state microwave generating array material, each element of which is phase controllable, and plasma processing systems
CN1106577A (zh) * 1994-01-31 1995-08-09 罗拉尔奎尔康卫星服务有限公司 幅度递减的有源发射相控阵天线
CN1574199A (zh) * 2003-06-24 2005-02-02 三星电子株式会社 高密度等离子体加工设备
CN1659309A (zh) * 2002-04-11 2005-08-24 微米技术有限公司 利用相控阵微波激发的沉积方法和沉积设备
CN102576937A (zh) * 2009-10-01 2012-07-11 高通股份有限公司 用于使用具有切换式寄生元件的可转向波束天线的波束转向的方法和设备
US20130270997A1 (en) * 2012-03-30 2013-10-17 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (swp) processing method and apparatus
US20140273537A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. High density plasma reactor with multiple top coils

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
TW454429B (en) * 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
US20090194236A1 (en) * 2004-06-25 2009-08-06 Kyoto University Plasma processing equipment
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
EP2205043B1 (en) * 2007-10-18 2017-01-25 Panasonic Corporation Microwave heating device
JP5231308B2 (ja) * 2009-03-31 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置
US9277637B2 (en) * 2010-11-17 2016-03-01 Tokyo Electron Limited Apparatus for plasma treatment and method for plasma treatment
JP5893865B2 (ja) * 2011-03-31 2016-03-23 東京エレクトロン株式会社 プラズマ処理装置およびマイクロ波導入装置
FR2974701B1 (fr) * 2011-04-27 2014-03-21 Sairem Soc Pour L Applic Ind De La Rech En Electronique Et Micro Ondes Installation de production d'un plasma micro-onde
DE102012200878B4 (de) * 2012-01-23 2014-11-20 Forschungsverbund Berlin E.V. Verfahren und Vorrichtung zum Erzeugen von Plasmapulsen
WO2014050979A1 (ja) * 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9867269B2 (en) * 2013-03-15 2018-01-09 Starfire Industries, Llc Scalable multi-role surface-wave plasma generator
US9530621B2 (en) * 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
US10269541B2 (en) * 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0459177A2 (en) * 1990-06-01 1991-12-04 International Business Machines Corporation Solid state microwave generating array material, each element of which is phase controllable, and plasma processing systems
CN1106577A (zh) * 1994-01-31 1995-08-09 罗拉尔奎尔康卫星服务有限公司 幅度递减的有源发射相控阵天线
CN1659309A (zh) * 2002-04-11 2005-08-24 微米技术有限公司 利用相控阵微波激发的沉积方法和沉积设备
CN1574199A (zh) * 2003-06-24 2005-02-02 三星电子株式会社 高密度等离子体加工设备
CN102576937A (zh) * 2009-10-01 2012-07-11 高通股份有限公司 用于使用具有切换式寄生元件的可转向波束天线的波束转向的方法和设备
US20130270997A1 (en) * 2012-03-30 2013-10-17 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (swp) processing method and apparatus
US20140273537A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. High density plasma reactor with multiple top coils

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
姚仲鹏: "《空气净化原理、设计与应用》", 30 September 2014 *

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113508190A (zh) * 2019-02-25 2021-10-15 康宁股份有限公司 多喷淋头化学气相沉积的反应器、方法及产品
CN113544825B (zh) * 2019-03-19 2024-02-09 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN113544825A (zh) * 2019-03-19 2021-10-22 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
CN110502049B (zh) * 2019-08-30 2021-05-07 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制***及半导体设备
CN110502049A (zh) * 2019-08-30 2019-11-26 北京北方华创微电子装备有限公司 卡盘温度控制方法、卡盘温度控制***及半导体设备
CN112509900A (zh) * 2019-09-13 2021-03-16 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN112509900B (zh) * 2019-09-13 2024-05-31 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN112735934A (zh) * 2019-10-28 2021-04-30 东京毅力科创株式会社 控制方法和等离子体处理装置
CN112788826A (zh) * 2019-11-05 2021-05-11 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN114107950A (zh) * 2020-08-28 2022-03-01 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
TWI805126B (zh) * 2021-01-04 2023-06-11 大陸商江蘇魯汶儀器有限公司 電漿密度控制系統及方法
CN116190190A (zh) * 2023-04-25 2023-05-30 季华实验室 自动阻抗匹配方法、装置、***、电子设备及存储介质
CN116190190B (zh) * 2023-04-25 2023-07-25 季华实验室 自动阻抗匹配方法、装置、***、电子设备及存储介质

Also Published As

Publication number Publication date
TW201728780A (zh) 2017-08-16
US20170133202A1 (en) 2017-05-11
KR20170058272A (ko) 2017-05-26
JP2017103454A (ja) 2017-06-08

Similar Documents

Publication Publication Date Title
CN106972281A (zh) 用于蚀刻和沉积工艺的计算机可寻址等离子体密度修改
CN105590826B (zh) 经碰撞谐振能转移到能量吸附气体调整等离子体的vuv发射
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
US20220020584A1 (en) Dry development of resists
TWI665709B (zh) 半導體製造用之內部電漿格柵及使用該內部電漿格柵的設備、系統及方法
CN108735675A (zh) 氧化硅的选择性沉积
CN110024125A (zh) 3d nand制造中的阶梯封装
CN107699869A (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
CN110223945A (zh) 具有保护涂层的石英组件
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
TW201833992A (zh) 以原子層沉積間隙填充間隔件遮罩進行的自對準多重圖案化製程流程
TW201806128A (zh) 包覆之方法
CN108807128A (zh) 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN109952632A (zh) 降低SiN膜的湿法蚀刻速率而不损坏下伏衬底的方法
CN110112048A (zh) 用于图案化非挥发性金属的室
CN104465457A (zh) 双等离子体源反应器处理晶片中离子与中性物质比控制
CN115244666A (zh) 钼的原子层蚀刻
US20230230811A1 (en) Surface modification for metal-containing photoresist deposition
KR20220148249A (ko) EUV 패터닝의 결함 감소를 위한 다층 하드마스크 (multi-layer hardmask)
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170721