CN105745740A - 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法 - Google Patents

用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法 Download PDF

Info

Publication number
CN105745740A
CN105745740A CN201480051107.7A CN201480051107A CN105745740A CN 105745740 A CN105745740 A CN 105745740A CN 201480051107 A CN201480051107 A CN 201480051107A CN 105745740 A CN105745740 A CN 105745740A
Authority
CN
China
Prior art keywords
substrate
dielectric barrier
gas
etch
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480051107.7A
Other languages
English (en)
Other versions
CN105745740B (zh
Inventor
S·D·耐马尼
P·古帕拉加
T·越泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105745740A publication Critical patent/CN105745740A/zh
Application granted granted Critical
Publication of CN105745740B publication Critical patent/CN105745740B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了用于使用低温蚀刻工艺以及后续的界面保护层沉积工艺来蚀刻设置在基板上的电介质阻挡层的方法。在一个实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括以下步骤:将基板转移至蚀刻处理腔室中,所述基板具有设置在所述基板上的电介质阻挡层;对电介质阻挡层执行处理工艺;在供应至蚀刻处理腔室中的蚀刻气体混合物中远程地生成等离子体以蚀刻设置在所述基板上的经处理电介质阻挡层;对所述电介质阻挡层进行等离子体退火以将所述电介质阻挡层从所述基板去除;以及将所述电介质阻挡从所述基板去除之后,形成界面保护层。

Description

用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法
发明的背景
技术领域
本发明的实施例大体上关于用于形成半导体器件的方法。更具体而言,本发明的实施例大体上关于用于制造半导体器件的蚀刻电介质阻挡层以及随后的界面保护层沉积工艺的方法。
背景技术
可靠地生产亚半微米和更小的特征是半导体器件的下一代超大规模集成(verylargescaleintegration;VLSI)和极大规模集成(ultralarge-scaleintegration;ULSI)的关键技术挑战中的一项。然而,随着电路技术的极限被推动,VLSI和ULSI互连技术的缩小的尺寸已对处理能力提出了额外的要求。栅极结构在基板上的可靠的形成对于VLSI与ULSI的成功以及对于增加单个基板和管芯的电路密度和质量的持续工作是重要的。
通常在蚀刻结构期间使用经图案化的掩模(诸如,光阻剂层),所述结构诸如,基板上的栅极结构、浅沟槽隔离(shallowtrenchisolation;STI)、位线等,或后端双重式金属镶嵌(damascene)结构。常规意义上通过使用光刻工艺来将具有所需的关键尺寸的图案以光学方式转移至光阻剂层来制造经图案化的掩模。随后,光阻剂层经显影以移除光阻剂的不需要的部分,由此在其余的光阻剂中产生开口。
随着集成电路部件的尺寸减小(例如,减小至亚微米尺寸),必须仔细地选择用于制造此类部件的材料以获得令人满意的电性能水平。例如,当相邻的金属互连体之间的距离/或隔离互连体的电介质块状绝缘材料的厚度具有亚微米尺寸时,在金属互连体之间发生容性耦合的可能性较高。相邻的金属互连体之间的容性耦合可能导致串扰和/或电阻-电容(resistance-capacitance;RC)延迟,所述串扰和/或RC延迟使集成电路的整体性能降级且可使电路无法操作。为了使相邻的金属互连体之间的容性耦合最小化,需要低介电常数的块状绝缘材料(例如,小于约4.0的介电常数)。低介电常数的块状绝缘材料的示例包括二氧化硅(SiO2)、硅酸盐玻璃、氟硅酸盐玻璃(fluorosilicateglass;FSG)和掺碳氧化硅(SiOC),等等。
另外,经常利用电介质阻挡层来将金属互连体与电介质块状绝缘材料分开。电介质阻挡层使金属从互连体材料至电介质块状绝缘材料中的扩散最小化。金属至电介质块状绝缘材料中的扩散是不合意的,因为此类扩散可能影响集成电路的电性能,或使电路无法操作。电介质层需要具有低介电常数以维持导线之间的电介质叠层的低k特性。电介质阻挡层也充当用于电介质块状绝缘层蚀刻工艺的蚀刻终止层,使得位于下方的金属将不暴露于蚀刻环境。电介质阻挡层具有约5.5或更小的介电常数。电介质阻挡层的示例为碳化硅(SiC)以及含氮碳化硅(SiCN),等等。
在电介质阻挡层蚀刻工艺之后,位于下方的金属的上表面暴露于空气。在用于在被暴露的金属上形成互连的后续的金属化工艺之前,基板可在不同真空环境之间转移以执行不同的处理步骤。在转移期间,基板可能必须驻留在工艺腔室或受控的环境外部达称为队列时间(Q-时间)的时间段。在Q-时间期间,基板暴露于包括在大气压力和室温下的氧和水的周围环境条件。结果,经受周围环境中的氧化条件的基板可能在后续的金属化工艺(诸如,用以形成铜互连体的铜电镀工艺)之前在金属表面上累积原生的氧化物或污染物。
当金属在蚀刻工艺之后暴露于周围环境条件时,总是施加严格的Q-时间限制以限制在基板上累积的氧化物层的量。一般而言,较长的Q-时间允许较厚的氧化物层形成。过量的原生氧化物累积或污染物可能不利地影响金属元素在后续的金属化工艺期间粘附至基板表面的成核能力。此外,界面处的不良的粘附也可能导致不期望的高接触电阻,由此导致不如人意的不良的器件电性质。另外,在后端互连中的金属元素的不良的成核可能不仅影响器件的电性能,而且影响后续形成在所述器件上的导电接触材料的集成。
因此,需要用于蚀刻电介质阻挡层的改善的方法,使得对在电介质阻挡蚀刻工艺之后对被暴露的金属具有良好的界面质量控制,以便在最少的基板氧化的情况下提供允许更长的长Q-时间。
发明内容
提供了用于使用低温蚀刻工艺以及后续的界面保护层沉积工艺来蚀刻设置在基板上的电介质阻挡层的方法。在一个实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括以下步骤:将基板转移至蚀刻处理腔室中,所述基板具有设置在所述基板上的电介质阻挡层;对所述电介质阻挡层执行处理工艺;在供应至蚀刻处理腔室中的蚀刻气体混合物中远程地生成等离子体以蚀刻设置在所述基板上的经处理电介质阻挡层;对所述电介质阻挡层进行等离子体退火以将所述电介质阻挡层从所述基板去除;以及将所述电介质阻挡从所述基板去除之后,形成界面保护层。
在另一实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括以下步骤:将基板转移至蚀刻处理腔室中,所述基板具有电介质阻挡层,所述电介质阻挡层设置在基板上的双重式金属镶嵌结构中;在供应至蚀刻处理腔室中的蚀刻气体混合物中生成等离子体以蚀刻设置在所述基板上所述的电介质阻挡层,其中蚀刻气体混合物包括氨气和三氟化氮;对所述电介质阻挡层等离子体退火以将所述电介质阻挡层从所述基板去除;以及在将电介质阻挡从所述基板之后,形成界面保护层。
在又一实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括以下步骤:将基板转移至蚀刻处理腔室中,所述基板具有电介质阻挡层,所述电介质阻挡层设置在基板上的双重式金属镶嵌结构中;在蚀刻处理腔室中的处理气体混合物中施加第一低RF偏置功率以处理所述电介质阻挡层;将所述蚀刻处理腔室远程的源RF功率施加在蚀刻气体混合物中,其中蚀刻气体混合物包括氨气和三氟化氮;在蚀刻处理腔室中的退火气体混合物中施加第二低RF偏置功率,以便对经蚀刻的电介质阻挡层退火,从而将所述电介质阻挡层从所述基板去除;以及在将电介质阻挡从所述基板去除之后,形成界面保护层。
附图说明
因此,为了可详细地理解本发明的上述特征的方式,可参考实施例进行对以上简要概述的本发明的更特定描述,在所附附图中示出实施例中的一些。然而,应注意,所附附图仅示出本发明的典型实施例,并且因此不应视为对本发明范围的限制,因为本发明可允许其他同等有效的实施例。
图1为其中可实践本发明的实施例的说明性处理腔室的横截面图;
图2是说明性多腔室处理***的示意性俯视图;
图3描绘根据本发明的一个实施例的、使用低温蚀刻工艺以及随后的界面保护层沉积工艺来蚀刻电介质阻挡层的流程图;以及
图4A-4E描绘根据本发明的一个实施例的、设置在半导体基板上的电介质阻挡层在用于蚀刻电介质阻挡层并在蚀刻工艺之后沉积界面保护层的序列期间的横截面图。
为了促进理解,在可能的情况下,已使用完全相同元件符号来指定诸图所共有的完全相同的元件。构想了可将一个实施例的元件和特征有益地并入其他实施例中,而无需进一步的叙述。
然而,应当注意,所附附图仅示出出本发明的示例性实施例,并且因此不视为对本发明范围的限制,因为本发明可允许其他同等有效的实施例。
具体实施方式
本文公开了用于蚀刻电介质阻挡层以及随后的界面保护层沉积工艺的方法,所述方法提供具有高蚀刻选择性的蚀刻工艺,并且在蚀刻工艺之后提供界面保护。在一个实施例中,电介质阻挡层蚀刻工艺包括以下步骤:使用低温蚀刻工艺来选择性地蚀刻电介质阻挡层,而不会过度地蚀刻到位于下方的导电层。随后执行界面保护层以保护在电介质阻挡层蚀刻工艺之后被暴露的位于下方的导电层。通过利用具有高蚀刻选择性的蚀刻工艺以及蚀刻之后的界面保护层的沉积,可获得良好的界面控制。另外,可在具有最少的氧化物或污染物生成的情况下延伸在执行后续的工艺之前的Q-时间控制,进而增加制造的灵活性而不使器件性能降级。
图1是适合于执行如下文中进一步描述的蚀刻工艺的说明性处理腔室100的横截面图。腔室100配置成将材料从设置在基板表面上的材料层中去除。腔室100对于执行等离子体辅助干法蚀刻工艺是特别有用的。适合于实践本发明的一个处理腔室100是可从加利福尼亚州圣克拉拉市(SantaClara,California)的应用材料公司获得的SiconiTM处理腔室。需注意的是,可从其他制造商获得的其他真空处理腔室也可适于实践本发明。
处理腔室100在不破坏真空的情况下提供对基板表面的加热和冷却两者。在一个实施例中,处理腔室100包括腔室主体112、盖组件140和支撑组件180。盖组件140设置在腔室主体112的上端处,并且支撑组件180至少部分地设置在腔室主体112内。
腔室主体112包括狭缝阀开口114,所述狭缝阀开口114形成在所述腔室主体112的侧壁中,以提供对处理腔室100的内部的接取。狭缝阀开口114经选择性地打开和关闭以允许由晶片搬运机器人(未示出)进出腔室主体112的内部。
在一个或多个实施例中,腔室主体112包括形成在其中的通道115,以使热传递流体流过所述通道115。热传递流体可以是加热流体或冷却剂,并且用于在处理期间控制腔室主体112的温度。对腔室主体112的温度的控制对于防止气体或副产物在腔室主体112的内部上的不希望的冷凝是重要的。示例性热传递流体包括水、乙二醇或上述两者的混合物。示例性热传递流体可也包括氮气。
腔室主体112可进一步包括衬里120,所述衬里120围绕支撑组件180。衬里120是可移除的,以用于维护和清洁。衬里120可由诸如铝之类的金属、陶瓷材料或任何其他工艺相容的材料制成。衬里120可经珠粒喷击以增加表面糙度和/或表面积,这增加了沉积在此衬里120上的任何材料的粘附,进而防止材料的剥落,材料的剥落导致处理腔室100的污染。在一个或多个实施例中,衬里120包括形成在所述衬里120中的一个或多个孔125泵送通道129,所述泵送通道与真空端口131流体地连通。孔125提供气体进入泵送通道129中的流动路径,所述泵送通道129提供用于处理腔室100内的气体至真空端口131的出口。
真空***耦接至真空端口131。真空***可包括真空泵130和节流阀132以调节通过处理腔室100的气体的流动。真空泵130耦接至设置在腔室主体112中的真空端口131,并且因此与形成在衬里120内的泵送通道129流体地连通。除非另外注释,否则术语“气体”和”多种气体”可互换地使用,并且是指一种或多种前体、反应物、催化剂、载气、净化气体、清洁气体、上述各者的组合、以及被引入到腔室主体112中的任何其他流体。
盖组件140包括至少两个堆叠的组件,所述至少两个堆叠的组件配置成在它们之间形成等离子体容积或空腔。在一个或多个实施例中,盖组件140包括第一电极143(“上电极”),所述第一电极143设置在第二电极145(“下电极”)的竖直上方,从而在所述第一电极143与所述第二电极145之间限定等离子体容积或空腔150。第一电极143连接至电源152(诸如,RF(射频)电源,并且第二电极145连接至接地,从而在两个电极143、145之间形成电容。
在一个或多个实施例中,盖组件140包括一个或多个气体入口154(仅示出一个),所述一个或多个气体入口154至少部分地形成在第一电极143的上区段156中。一种或多种工艺气体经由一个或多个气体入口154进入盖组件140。一个或多个气体入口154在它的第一端处与等离子体空腔150流体地连通,并且在它的第二端处耦接至一个或多个上游气体源和/或其他气体递送部件(诸如,气体混合器)。
在一个或多个实施例中,第一电极143具有膨胀区段155,所述膨胀区段155对等离子体空腔150定界。在一个或多个实施例中,膨胀区段155是环形构件,所述环形构件具有从所述环形构件的上部155A至所述环形构件的下部155B逐渐增大的内表面或直径157。由此,跨膨胀区段155,第一电极143与第二电极145之间的距离是变化的。变化的距离有助于控制在等离子体空腔150内产生的等离子体的形成及稳定性。
在一个或多个实施例中,膨胀区段155类似于倒置的截顶圆锥或“漏斗”。在一个或多个实施例中,膨胀区段155的内表面157从膨胀区段155的上部155A至下部155B逐渐倾斜。内径157的斜率或角度可取决于工艺要求和/或工艺限制而变化。膨胀区段155的长度或高度也可取决于特定工艺要求和/或限制而变化。
如上所述,由于第一电极143的逐渐增加的内表面157,第一电极143的膨胀区段155使第一电极143与第二电极145之间的竖直距离改变。此可变的距离直接影响等离子体空腔150内的功率等级。不希望受理论限制,两个电极143、145之间的距离的变化允许等离子体找到必要的功率等级以便在等离子体不遍及整个等离子体空腔150的情况下而将等离子体自身维持在等离子体空腔150的某个部分内。因此,等离子体空腔150内的等离子体较不依赖于压力,从而允许等离子体在较宽的操作窗口内被生成并维持。由此,可在盖组件140内形成更具重复性且更可靠的等离子体。由于在等离子体空腔150中生成的等离子体在进入支撑组件180上方的处理区141(基板在所述处理区域141中行进)中之前被限定在盖组件140中,因为等离子体在处理区域141的远程生成,因此盖组件140被视为远程等离子体源。
膨胀区段155与如上所述的气体入口154流体地连通。一个或多个气体入口154的第一端可在膨胀区段155的内径的最上方的点处通向等离子体空腔150。类似地,一个或多个气体入口154的第一端可在沿膨胀区段155的内径157的任何高度间隔处通向等离子体空腔150。尽管未示出,但是可将两个气体入口154设置在膨胀区段155的相对侧处以产生进入膨胀区段155的涡旋流动模式或“涡流”流动,这有助于混合等离子体空腔150内的气体。
盖组件140可进一步包括绝缘体环160,所述绝缘体环160使第一电极143与第二电极145电绝缘。绝缘体环160可由氧化铝或任何其他绝缘的工艺相容材料制成。绝缘体环160围绕或基本上围绕至少膨胀区段155。
盖组件140可进一步包括邻接第二电极145的分配板170和阻隔板175。第二电极145、分配板170和阻隔板175可经堆叠并设置在盖轮缘178上,所述盖轮缘178连接至腔室主体112。铰链组件(未示出)可用来将盖轮缘178耦接至腔室主体112。盖轮缘178可包括用于循环热传递介质的嵌入式通道或通路179。取决于工艺要求,热传递介质可用于加热、冷却或两者。
在一个或多个实施例中,第二电极或顶板145可包括多个气体通道或孔165,所述多个气体通道或孔165形成在等离子体空腔150下方以允许来自等离子体空腔150的气体流过。分配板170为基本上圆盘形的,并且也包括多个孔172或流道,以分配穿过所述多个孔172或流道的气体流动。可设定孔172的尺寸并围绕分配板170来定位孔172,以将受控且均匀的流分配提供至腔室主体112的处理区141,待处理的基板位于所述处理区域141中。此外,孔172通过减缓或重新引导流动气体的速度分布以及均匀地分配气体流动以提供跨基板表面的均匀的气体分布来防止气体直接碰撞在基板表面上。
在一个或多个实施例中,分配板170包括用于容纳加热器或加热流体的一个或多个嵌入式通道或通路174,以便提供对盖组件140的温度控制。可在通道174内***电阻式加热元件(未示出)以加热分配板170。可将热电耦连接至分配板170以调节所述分配板170的温度。如上所述,热电耦可用于反馈回路中以控制施加至加热元件的电流。
或者,可使热传递介质通过通路174。取决于腔室主体112内的工艺要求,一个或多个通路174可含有冷却介质(如果需要)以更好地控制分配板170的温度。可使用任何适合的热传递介质,诸如例如,氮气、水、乙二醇或上述各者的混合物。
在一个或多个实施例中,可使用一个或多个热灯(未示出)来加热盖组件140。通常,热灯围绕分配板170的上表面而布置以通过辐射来加热包括盖组件140的部件(包括分配板170)。
阻隔板175可任选地设置在第二电极145与分配板170之间。阻隔板175可移除地安装至第二电极145的下表面。阻隔板175可良好地与第二电极145热接触和电接触。在一个或多个实施例中,可使用螺钉或类似的紧固件将阻隔板175耦接至第二电极145。阻隔板175也可螺纹旋拧(threaded)或锁固至第二电极145的外径上。
阻隔板175包括多个孔176以提供从第二电极145到分配板170的多个气体通道。可设定孔176的尺寸,并且可围绕阻隔板175来定位孔176,以将气体的受控且均匀的气体流动分布提供至分配板170。
支撑组件180可包括支撑构件185以支撑基板(图1中未示出),以便在腔室主体112内处理。支撑构件185可通过轴187而耦接至升举机构183,所述轴187延伸穿过形成在腔室主体112的底表面中的位于中心的开口114。可通过波纹管188来将升举机构183柔性地密封至腔室主体112,所述波纹管188防止来自轴187周围的真空泄漏。升举机构183允许在腔室主体112内在工艺位置与较低的转移位置之间竖直地移动支撑构件185。转移位置略低于形成在腔室主体112的侧壁中的狭缝阀开口114,使得可用机器人将基板从基板支撑构件185移除。
在一个或多个实施例中,支撑构件185具有用于支撑基板的平坦的圆形表面或基本上平坦的圆形表面,所述基板将在所述表面上处理。支撑构件185可由铝构造。支撑构件185可包括由某种其他材料制作的可移除顶板190以减少基板的背侧污染,所述某个其他材料诸如例如,硅或陶瓷材料。
在一个或多个实施例中,可使用真空夹盘将基板(未示出)固定至支撑构件185。在一个或多个实施例中,可使用静电夹盘将基板(未示出)固定至支撑构件185。静电夹盘通常至少包括围绕电极181的电介质材料,所述电极181可位于支撑构件185上或可形成为支撑构件185的一体式部分。夹盘的电介质部分使夹盘电极181与基板且与支撑组件180的其余部分电绝缘。
在一个实施例中,电极181耦接至多个RF功率偏置源184、186。RF偏置电源184、186将RF功率提供至电极181,这激励并维持由设置在腔室主体112的处理区141中的气体形成的等离子体放电。
在图1中所描绘的实施例中,双重式RF偏置电源184、186通过匹配电路189而耦接至设置在支撑构件185中的电极181。由RF偏置电源184、186生成的信号经由单个馈入,穿过匹配电路189而被递送至支撑构件185以电离在等离子体处理腔室100中提供的气体混合物,由此提供用于执行沉积、蚀刻或其他等离子体增强型工艺必需的离子能量。RF偏置电源184、186一般能够产生具有从约50kHz至约200MHz的频率以及在约0瓦特与约5000瓦特之间的功率的RF信号。附加的偏置电源可耦接至电极181以根据需要来控制等离子体的特性。
支撑构件185可包括穿过所述支撑构件185而形成的钻孔192以容纳升举销193,在图1中示出这些升举销193中的一个。每一个升举销193都由陶瓷材料或含陶瓷的材料构造,并且用于基板搬运和运输。当啮合设置在腔室主体112内的环形升举环195时,升举销193在升举销相应的钻孔192内可移动。升举环195是可移动的,使得当升举环195处于上位置中时,升举销193之上表面可延伸超过支撑构件185的基板支撑表面。相反,当升举环195处于下位置中时,升举销193的上表面位于支撑构件185的基板支撑表面下方。因此,当升举环195在下位置与上位置之间移动时,使每一个升举销193在支撑构件185中的升举销的相应的钻孔192中移动。
支撑组件180可进一步包括围绕支撑构件185而设置的边缘环196。在一个或多个实施例中,边缘环196是适于覆盖支撑构件185的外周边且保护支撑构件185免于沉积的环形构件。边缘环196可定位在支撑构件185上或邻接支撑构件185定位以在支撑构件185的外径与边缘环196的内径之间形成环形净化气体通道。环形净化气体通道可与净化气体导管197流体地连通,所述净化气体导管197穿过支撑构件185和轴187而形成。净化气体导管197与净化气体供应器(未示出)流体地连通以将净化气体提供至净化气体通道。可单独地或以组合方式来使用诸如氮气、氩气或氦气之类的任何适合的净化气体。在操作中,净化气体流动穿过导管197,流进净化气体通道,并且围绕设置在支撑构件185上的基板的边缘流动。因此,与边缘环196协作的净化气体防止基板的边缘和/或背侧处的沉积。
可由穿过嵌入在支撑构件185的主体中的流体通道198而循环的流体来控制支撑组件180的温度。在一个或多个实施例中,流体通道198与热传递导管199流体地连通,所述热传递导管199穿过支撑组件180的轴187而设置。流体通道198围绕支撑构件185而定位,以将均匀的热传递提供至支撑构件185的基板接收表面。流体通道198和热传递导管199可使热传递流体流动,以加热或冷却支撑构件185以及设置在所述支撑构件185上的基板。可使用任何适合的热传递流体,诸如,水、氮气、乙二醇或上述各者的混合物。支撑构件185可进一步包括用于监测支撑构件185的支撑表面的温度的嵌入式热电耦(未示出),所述温度指示设置在所述支撑表面上的基板的温度。例如,可将来自热电耦的信号用于反馈回路中以控制穿过流体通道198而循环的流体的温度和流动速率。
可在腔室主体112内竖直地移动支撑构件185,使得可控制支撑构件185与盖组件140之间的距离。传感器(未示出)可提供关于支撑构件185在腔室100内的位置的信息。
在操作中,支撑构件185可提升至紧密接近盖组件140之处以控制正在被处理的基板的温度。由此,可经由从分配板170发射出的辐射来加热基板。或者,可使用由升举环195致动的升举销193将基板提离支撑构件185而到达紧密接近经加热的盖组件140之处。
***控制器(未示出)可用于调节处理腔室100的操作。***控制器可在存储在计算机的存储器上的计算机程序的控制下来操作。计算机程序可包括指令,所述指令使得能够在处理腔室100中执行下文中所述的工艺。例如,计算机程序可决定特定工艺的工艺定序和定时、气体混合物、腔室压力、RF功率等级、晶座定位、狭缝阀的打开和关闭、基板冷却以及其他参数。
图2是可适于执行如本文所公开的工艺的说明性多腔室处理***200的示意性俯视图,所述说明性多腔室处理***200具有耦接至其的处理腔室100。***200可包括用于将基板传入和传出***200的一个或多个负载锁定腔室202、204。通常,由于***200处于真空下,因此负载锁定腔室202、204可“向下泵送”正被引入到***200中的基板。第一机器人210可在负载锁定腔室202、204与第一组一个或多个基板处理腔室212、214、216、100(示出四个)之间转移基板。每一个处理腔室212、214、216、100都配置成执行基板处理操作中的至少一种,所述基板处理操作诸如,蚀刻工艺、循环层沉积(cyclicallayerdeposition;CLD)、原子层沉积(atomiclayerdeposition;ALD)、化学气相沉积(chemicalvapordeposition;CVD)、物理气相沉积(physicalvapordeposition;PVD)、除气、定向以及其他基板工艺。用于执行蚀刻工艺的处理腔室100的、相对于其他腔室212、214、216的位置是用于说明的,并且如果需要,则处理腔室100的位置可任选地与处理腔室212、214、216中的任一个交换。
第一机器人210也可将基板转移至一个或多个转移腔室222、224/从一个或多个转移腔室222、224转移基板。转移腔室222、224可用于在允许在***200内转移基板时维持超高真空条件。第二机器人230可在转移腔室222、224与第二组一个或多个处理腔室232、234、236、238之间转移基板。与处理腔室212、214、216、100类似,处理腔室232、234、236、238可经配备以执行各种基板处理操作,所述基板处理操作包括本文所述的干法蚀刻工艺、任何其他适合的工艺(包括例如,沉积、预清洁、除气和定向)。如果对于将由***200执行的特定的工艺不是必要的,则可将基板处理腔室212、214、216、100、232、234、236、238中任一个从***200中移除。
图3示出工艺序列300,所述工艺序列300用于执行蚀刻工艺,以便以高蚀刻选择性来蚀刻设置在基板上的电介质阻挡层。图3中所述的序列对应于图4A-4E中所描绘的制造阶段,图4A-4E例示出具有形成在其上的双重式金属镶嵌结构402的基板400在蚀刻电介质阻挡层408以及随后的界面保护层沉积工艺的沉积的不同阶段期间的示意性横截面图。
工艺序列在框302处开始:将基板(诸如,图4A中描绘的基板400)转移到处理腔室(诸如,图1中描绘的处理腔室100)或其他适合的处理腔室中。基板400可具有基本上平坦的表面、不均匀的表面或具有形成在其上的结构的基本上平坦的表面。图4A中所示的基板400包括形成在基板400上的双重式金属镶嵌结构402。在一个实施例中,基板400可以是诸如以下各者的材料:晶态硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或无掺杂多晶硅、掺杂或无掺杂硅晶片以及图案化或非图案化的绝缘体上晶片硅(silicononinsulator;SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石。基板400可具有各种尺寸,诸如,200mm、300mm或450mm直径的晶片以及矩形面板或正方形面板。除非另有说明,否则本文所述的实施例和示例在具有300mm直径或450mm直径的基板上执行。
在一个实施例中,双重式金属镶嵌结构402是在后端半导体工艺中利用的互连结构。双重式金属镶嵌结构402包括设置在基板400上的电介质阻挡层408。如图4A中所示,电介质叠层444设置在基板400上,并且具有形成在其中的开口411,所述开口411配置成具有至少一个导电层(诸如,铜线),所述至少一个导电层设置在所述开口中,由电介质层横向地定界。电介质叠层444包括设置在电介质阻挡层408上方的电介质块状绝缘层406。硬掩模层404可设置在电介质块状绝缘层406的顶部上。开口411可包括沟槽405,所述沟槽405通过适合的蚀刻工艺(诸如,双重式金属镶嵌蚀刻工艺)而形成在电介质块状绝缘层406中的通孔407上。在一个实施例中,电介质块状绝缘层406是具有小于4.0的介电常数的电介质材料(例如,低k材料)。适合的材料的示例包括含碳氧化硅(SiOC)(诸如,可从应用材料公司获得的BLACK电介质材料以及其他低k聚合物(诸如,聚酰胺)。设置在电介质块状绝缘层406上的硬掩模层404可以是选自由以下各项组成的组的电介质层:氧化硅、TEOS、氮氧化硅、非晶碳等。在图4A-4E中描绘的实施例中,电介质块状绝缘层406为含碳氧化硅(SiOC)层,并且硬掩模层404是TEOS层、氧化硅层或非晶碳层。
电介质阻挡层408具有约5.5或更小的介电常数。在一个实施例中,电介质阻挡层408是含碳硅层(SiC)、氮掺杂的含碳硅层(SiCN),等等。在图4A中描绘的实施例中,电介质阻挡层是SiCN膜。电介质阻挡层材料的示例是可从应用材料公司获得的电介质材料。
在图4A中描绘的实施例中,电介质叠层420经蚀刻穿过开口411,由此在电介质阻挡层408上方的电介质块状绝缘层406中的通孔407上限定沟槽405,或反之亦然。电介质块状绝缘层406的部分被去除以使电介质阻挡层408的表面410暴露。存在于互连层440中的导电层442在形成在电介质阻挡层408中的通孔407下方。在一个实施例中,使用由氟和碳形成的等离子体来蚀刻电介质块状绝缘层406。可在处理腔室100或其他适合的反应器中蚀刻电介质块状绝缘层406。
在框304处,执行处理工艺以处理电介质阻挡层408的被暴露表面410,从而改变表面性质以在后续的化学蚀刻工艺中促进电介质阻挡层408的去除。在框304处执行的处理工艺包括将处理气体混合物供应至腔室100中。随后,由处理气体混合物形成的等离子体对由电介质块状绝缘层406暴露的电介质阻挡层408的表面410进行等离子体处理。处理工艺将电介质阻挡层408活化成激发态,从而在未受电介质块状绝缘层406保护的区域中形成经处理的电介质阻挡层412,如图4C中所示。随后,经处理的电介质阻挡层412可在框306处容易地与后续被供应到处理腔室100中的化学蚀刻气体反应,从而形成易于被泵送出处理腔室100的挥发性气体副产物。
在一个实施例中,处理气体混合物包括含氢气体、含氮气体或惰性气体中的至少一种。人们相信,在处理气体混合物中供应的含氢气体、含氮气体或惰性气体可辅助增加在由处理气体混合物形成的等离子体中的离子的寿命。离子的增加的寿命可辅助更彻底地与基板400上的电介质阻挡层408反应且活化基板400上的电介质阻挡层408,由此在后续的化学蚀刻工艺期间增强从基板400中对经活化的电介质阻挡层412的移除。在含氢气体利用于处理气体混合物中的实施例中,来自含氢气体的氢原子可与电介质阻挡层408中所含的硅原子反应,从而在经处理的电介质阻挡层412上形成Si-H键或Si-OH键等弱键和悬空键。具有Si-H或Si-OH键终端的经处理的电介质阻挡层412可容易地由后续被供应至处理腔室100的其他蚀刻剂吸收,由此辅助容易地从基板表面去除经处理电介质阻挡层412。
在一个实施例中,供应至处理腔室100中的含氢气体包括H2、H2O等中的至少一种。供应至处理腔室100中的含氮气体包括N2、N2O、NO2、NH3等中的至少一种。供应至处理腔室100中的惰性气体包括Ar、He、Kr等中的至少一种。在示例性实施例中,在处理腔室100中供应以执行处理工艺的含氢气体是H2气体,在处理腔室100中供应以执行处理工艺的含氮气体是N2气体,并且惰性气体是He或Ar。
在等离子体处理工艺期间,可调整若干工艺参数以控制处理工艺。在一个示例性实施例中,将处理腔室100中的工艺压力调整为在约10毫托至约5000毫托之间,诸如,在约10毫托与约200毫托之间。可施加频率为约13MHz的RF偏置功率以维持处理气体混合物中的等离子体。例如,可施加约20瓦特至约200瓦特的RF偏置功率以维持处理腔室100内的等离子体。可使处理气体混合物以约200sccm至约800sccm之间的速率流动到腔室中。将基板温度维持在约25摄氏度至约300摄氏度之间,诸如,在约50摄氏度与约140摄氏度之间,例如,在约50摄氏度与约110摄氏度之间。
在一个实施例中,取决于操作温度、压力和气体的流动速率,使基板400经受处理工艺达约5秒至约5分钟之间的时间。例如,可使基板暴露于预处理工艺达约30秒至约90秒。在示例性实施例中,使基板暴露于处理工艺达约90秒或更少时间。
在框306处,对基板400执行远程等离子体蚀刻工艺以蚀刻基板400上的经处理的电介质阻挡层412,如图4C中所示。远程等离子体蚀刻工艺是经执行以缓慢地移除由基板400上的电介质块状绝缘层406暴露的经处理电介质阻挡层412的化学工艺。通过在使处理气体流动以蚀刻经处理的电介质阻挡层412之前将蚀刻气体混合物供应至处理腔室100的等离子体空腔150中,以在等离子体空腔150中形成由处理气体混合物形成的远程等离子体源来执行远程等离子体蚀刻工艺。
在一个实施例中,用于去除经处理的电介质阻挡层412的蚀刻气体混合物是氨气(NH3)与三氟化氮(NF3)气体的混合物。引入处理腔室中的每一种气体的量可改变并调节以适应例如,将被去除的经处理的电介质阻挡层412的厚度、正处理的基板的几何形状、等离子体空腔的体积容量、腔室主体的体积容量以及耦接至腔室主体的真空***的能力。
由于等离子体在等离子体空腔150中远程地生成,因此从来自远程源等离子体的蚀刻气体混合物中离解的蚀刻剂是相对适度且温和的,从而缓慢地、温和地且逐渐地对经处理的电介质阻挡层412进行化学反应,直到位于下方的导电层442被暴露为止。人们相信,在远程等离子体源中,氨气(NH3)气体和三氟化氮(NF3)气体在远程等离子体空腔150中经离解,从而形成氟化铵(NH4F)和/或具有HF的氟化铵(NH4F.HF)。一旦氟化铵(NH4F)以及具有HF的氟化铵(NH4F.HF)的蚀刻剂被引入到处理腔室100的处理区141中从而到达基板表面上,氟化铵(NH4F)以及具有HF的氟化铵(NH4F.HF)的蚀刻剂可与材料层404的电介质材料(诸如,氧化硅)反应,从而形成主要为固态的(NH4)2SiF6。氟化铵(NH4F)以及具有HF的氟化铵(NH4F.HF)的蚀刻剂对经处理的电介质阻挡层412进行化学反应,从而形成固态的(NH4)2SiF6,所述(NH4)2SiF6稍后将通过使用低温升华工艺而从基板表面被去除,这将在框308处进一步详细地讨论。
在一个或多个实施例中,经添加以提供蚀刻气体混合物的气体具有至少1:1的氨气(NH3)比三氟化氮(NF3)的摩尔比。在一个或多个实施例中,蚀刻气体混合物的摩尔比为至少约3:1(氨气比三氟化氮)。以从约5:1(氨气比三氟化氮)至约30:1的摩尔比在腔室100中引入气体。在另一实施例中,蚀刻气体混合物的摩尔比为从约5:1(氨气比三氟化氮)至约10:1。蚀刻气体混合物的摩尔比也可落在约10:1(氨气比三氟化氮)与约20:1之间。
在一个实施例中,在蚀刻气体混合物中可也可供应其他类型的气体(诸如,惰性气体或载气)以辅助将蚀刻气体混合物载运至真空处理腔室100的处理区域141中。惰性气体或载气的适合的示例包括Ar、He、N2、O2、N2O、NO2、NO等中的至少一种。在一个实施例中,可供应至真空处理腔室100中的惰性气体或载气是体积流动速率在约200sccm与约1500sccm之间的Ar或He。
当供应蚀刻气体混合物以执行远程等离子体源蚀刻工艺时,基板温度可维持在低范围处,诸如,小于约100摄氏度,诸如,在约40摄氏度与约100摄氏度之间。人们相信,将基板温度维持在低范围处(诸如,小于100摄氏度)可辅助增加蚀刻工艺的蚀刻速率。人们相信,过高的温度将抑制氨气(NH3)与三氟化氮(NF3)之间的化学反应,所述化学反应是用来形成所需的用于蚀刻的蚀刻剂(氟化铵(NH4F)和/或具有HF之氟化铵(NH4F.HF)。由于三氟化氮(NF3)在升高的温度下是相对热力学稳定的,因此在蚀刻工艺期间利用的低温可有利于等离子体物质的等离子体至正在被蚀刻的经处理的电介质阻挡层412上的表面吸附。因此,将基板温度控制在小于约100摄氏度的范围处可在蚀刻工艺期间合意地提高蚀刻速率,由此增加整体蚀刻工艺产量。
在蚀刻气体混合物被供应至处理腔室中且暴露于低温基板(诸如,小于约100摄氏度)之后,可接着蚀刻经处理电介质阻挡层412,从而在基板表面上形成固体蚀刻副产物414(诸如,氟硅酸铵(NH4)2SiF6),如图4C中所示。留在基板400上的蚀刻副产物414((NH4)2SiF6)具有相对低的熔点(诸如,约100摄氏度),此相对低的熔点允许通过升华工艺将副产物414从基板去除,下文中将在框308处进一步讨论所述升华工艺。可连续地执行蚀刻工艺,直到设置在基板400上的经处理的电介质阻挡层412已全部被反应且被转换成蚀刻副产物414为止。
在蚀刻工艺期间,可调整若干工艺参数以控制蚀刻工艺。在一个示例性实施例中,将处理腔室100中的工艺压力调整为在约10毫托至约5000毫托之间,诸如,在约800毫托与约5托之间。可施加频率为约80KHz的RF源功率以维持化学蚀刻气体混合物中的等离子体。例如,可将在约20瓦特至约70瓦特之间的RF源功率施加至蚀刻气体混合物。此处所称的RF源功率可以是从电源152供应至电极143、145的RF功率。在一个实施例中,RF源功率可具有约80KHz的频率。另外,可将RF偏置功率供应至电极181以生成偏置功率。例如,可将频率约13MHz或60MHz的、在约10瓦特至约1000瓦特之间的RF偏置功率施加至蚀刻气体混合物。可使蚀刻气体混合物以在约400sccm至约2000sccm之间的速率流进腔室。在一个实施例中,可执行蚀刻工艺达约60秒与约2000秒之间的时间。
在框308处,在完成了蚀刻工艺且经处理的电介质阻挡层412已基本上反应并转换成蚀刻副产物之后,执行升华工艺以将蚀刻副产物414升华成可被泵送出处理腔室100的挥发状态。升华工艺将蚀刻副产物414从基板400中去除,从而使位于下层的导电层442暴露,如图4D中所示。可在与执行框306处的远程等离子体蚀刻工艺的相同的腔室(诸如,如上所述的处理腔室100)中执行升华工艺。或者,可根据需要在***200的分开的处理腔室处执行升华工艺。
升华工艺可以是利用等离子体能量来使蚀刻副产物414从基板400中升华的等离子体退火工艺。通过蚀刻副产物414(诸如,氟硅酸铵(NH4)2SiF6)的低熔(升华)点的性质,来自等离子体的热能可高效地去除蚀刻副产物414,而不使用常规意义上的高退火工艺。
在一个实施例中,升华工艺可利用低RF偏置功率等离子体处理工艺来温和且适度地处理基板,而不损坏基板表面。在一个实施例中,低温等离子体工艺可使用低RF偏置功率(诸如,小于约300瓦特),并且控制被控制在约20摄氏度与约150摄氏度之间(诸如,约110摄氏度)的基板温度来使蚀刻副产物414从基板表面升华。
通过将等离子体退火气体混合物供应至腔室100中来执行升华工艺。随后,由等离子体退火气体混合物形成等离子体,以对基板400进行等离子体退火,从而形成易于泵送出处理腔室100的挥发性气体副产物。
在一个实施例中,等离子体退火气体混合物包括含氢气体、含氮气体或惰性气体中的至少一种。人们相信,在等离子体退火气体混合物中供应的含氢气体、含氮气体或惰性气体可辅助增加由等离子体退火气体混合物形成的等离子体中的离子的寿命,进而高效地将蚀刻副产物414从基板400中去除。离子的增加的寿命可辅助更彻底地对基板400上的蚀刻副产物414反应且活化基板400上的蚀刻副产物414,进而增强从基板400中对蚀刻副产物414的去除。
在一个实施例中,供应至处理腔室100中的含氢气体包括H2、H2O等中的至少一种。供应至处理腔室100中的含氮气体包括N2、N2O、NO2、NH3等中的至少一种。供应至处理腔室100中的惰性气体包括Ar、He、Kr等中的至少一种。在示例性实施例中,在处理腔室100中供应以执行处理工艺的含氢气体是H2气体,在处理腔室100中供应以执行处理工艺的含氮气体是N2气体,并且惰性气体是He或Ar。
在等离子体退火工艺期间,可调整若干工艺参数以控制预处理工艺。在一个示例性实施例中,处理腔室100中的工艺压力调整为在约10毫托至约5000毫托之间,诸如,在约10毫托与约200毫托之间。可施加频率为约13MHz的RF偏置功率以维持处理气体混合物中的等离子体。例如,可施加约20瓦特至约300瓦特的RF偏置功率以维持处理腔室100内的等离子体。可使等离子体退火气体混合物以在约100sccm至约1000sccm之间的速率流入腔室。将基板温度维持在约20摄氏度与约150摄氏度之间,诸如,约110摄氏度。在一些实施例中,没有功率被施加至电极143、145。
在框310处,在将蚀刻副产物414从基板去除以使位于下方的导电层442暴露之后,在经蚀刻的电介质块装绝缘层406以及导电层442的表面上形成界面保护层422,如图4E中所示。可通过使工艺气体混合物流入处理腔室100来沉积界面保护层422。流入处理腔室100的工艺气体混合物执行沉积工艺,以便形成界面保护层422来保护导电层442的被暴露表面免于在驻留在周围环境中时进一步受污染或氧化,进而允许增加工艺Q-时间。工艺气体混合物可包括含碳和硅元素的聚合物气体。在一个实施例中,工艺气体混合物可包括但不限于伴随至少一种载气的聚合物气体,所述载气诸如,氩气(Ar)、氦气(He)、氧化氮(NO)、一氧化碳(CO)、一氧化二氮(N2O)、氧气(O2)、氮气(N2)等。聚合物气体的适合的示例包含氟烷基聚氧化乙烯、聚二甲基硅氧烷、三甲基硅烷(TMS或3MS)、四甲基硅烷(TMS或4MS)、八甲基环四硅烷(octamethylcyclotetrasilane;OMCTS)、六甲基二硅烷(hexamethyldisiliane;HMDS),等等。在一个实施例中,界面保护层422是含硅层,诸如,氧化硅层。
在将工艺气体混合物供应至蚀刻反应器中时,调整若干工艺参数。在一个实施例中,将蚀刻反应器中的工艺气体混合物的压力调整为在约10毫托至约500毫托之间,并且将基板温度维持在约0摄氏度与约100摄氏度之间。可以约0瓦特至约1000瓦特的功率施加RF源功率。可使工艺气体混合物以在约1sccm至约100sccm之间的速率流动。
可通过任何适合的方法来确定界面保护层422的厚度。在一个实施例中,可沉积具有在约至约之间的厚度的界面保护层422。在另一实施例中,可通过监测发射、预定的时间段的期满或通过用于衡量充分地形成了保护层的另一指标来确定界面保护层422的厚度。
在处理腔室100中原位地(in-situ)沉积并完成双重式金属镶嵌结构402上的界面保护层沉积工艺。在替代实施例中,可任选地在另一真空处理腔室中非原位地(ex-situ)沉积或蚀刻界面保护层沉积工艺。
因此,提供用于具有高蚀刻选择性的蚀刻工艺以及随后的界面保护层沉积工艺的方法和设备。所述方法能以高蚀刻选择性以及良好的界面控制来蚀刻电介质阻挡层,同时提供界面保护层来保护在蚀刻工艺之后被暴露的导电层。通过利用界面保护层的沉积,可获得良好的界面控制,并且也可延伸工艺Q-时间,从而提供更宽的工艺窗和可靠的制造可预测性。
虽然前述内容针对本发明的实施例,但是设计本发明的其他和进一步的实施例而不背离本发明的基本范围,并且本发明的范围由所附权利要求书来确定。

Claims (15)

1.一种用于蚀刻设置在基板上的电介质阻挡层的方法,所述方法包含以下步骤:
将基板转移到蚀刻处理腔室中,所述基板具有设置在所述基板上的电介质阻挡层;
对所述电介质阻挡层执行处理工艺;
在供应至所述蚀刻处理腔室中的蚀刻气体混合物中远程地生成等离子体以蚀刻设置在所述基板上的所述经处理电介质阻挡层;
对所述电介质阻挡层进行等离子体退火以将所述电介质阻挡层从所述基板去除;以及
在将所述电介质阻挡从所述基板去除之后,形成界面保护层。
2.如权利要求1所述的方法,其中在所述蚀刻气体混合物中远程地生成所述等离子体的步骤进一步包含以下步骤:
在所述蚀刻气体混合物中,以约5:1至约30:1的摩尔比来供应氨气和三氟化氮。
3.如权利要求1所述的方法,其中在所述蚀刻气体混合物中远程地生成所述等离子体的步骤进一步包含以下步骤:
将基板温度维持在小于约100摄氏度。
4.如权利要求1所述的方法,其中对所述电介质阻挡层进行等离子体退火的步骤进一步包含以下步骤:
使蚀刻副产物从所述基板升华。
5.如权利要求1所述的方法,其中所述电介质阻挡层是碳化硅层。
6.如权利要求1所述的方法,其中在所述蚀刻气体混合物中远程地生成所述等离子体的步骤进一步包含以下步骤:
施加RF源功率以从所述蚀刻气体混合物远程地生成所述等离子体。
7.如权利要求6所述的方法,其中所述RF源功率具有约80KHz的频率。
8.如权利要求1所述的方法,其中形成所述界面保护层的步骤进一步包含以下步骤:
将伴随至少一种载气的聚合物气体供应至所述蚀刻处理腔室中。
9.如权利要求8所述的方法,其中所述载气是以下各项中的至少一种:氩气(Ar)、氦气(He)、一氧化氮(NO)、一氧化碳(CO)、一氧化二氮(N2O)、氧气(O2)或氮气(N2)。
10.如权利要求8所述的方法,其中所述聚合物气体是以下各项中的至少一种:氟烷基聚氧化乙烯、聚二甲基硅氧烷、三甲基硅烷、四甲基硅烷、八甲基环四硅烷(OMCTS)或六甲基二硅烷(HMDS)。
11.如权利要求1所述的方法,其中所述界面保护层是氧化硅层。
12.如权利要求1所述的方法,其中对所述电介质阻挡层进行等离子体退火以去除所述基板上的所述电介质阻挡层的步骤进一步包含以下步骤:
在去除所述电介质阻挡层之后,使设置在所述基板中的导电层暴露。
13.如权利要求1所述的方法,其中对所述电介质阻挡层进行等离子体退火的步骤进一步包含以下步骤:
施加小于300瓦特的RF偏置功率以生成等离子体,从而对所述基板进行等离子体退火。
14.如权利要求1所述的方法,其中对所述电介质阻挡层进行等离子体退火的步骤进一步包含以下步骤:
将基板温度维持在约20摄氏度与约150摄氏度之间。
15.一种用于蚀刻设置在基板上的电介质阻挡层的方法,所述方法包含以下步骤:
将基板转移至蚀刻处理腔室中,所述基板具有电介质阻挡层,所述电介质阻挡层设置在基板上的双重式金属镶嵌结构中;
在所述蚀刻处理腔室中的处理气体混合物中施加第一低RF偏置功率以处理所述电介质阻挡层;
将所述蚀刻处理腔室远程的源RF功率施加在蚀刻气体混合物中,其中所述蚀刻气体混合物包括氨气和三氟化氮;
在所述蚀刻处理腔室中的退火气体混合物中施加第二低RF偏置功率,以便对经蚀刻的电介质阻挡层退火,从而将所述电介质阻挡层从所述基板去除;以及
在将所述电介质阻挡从所述基板去除之后,形成界面保护层。
CN201480051107.7A 2013-09-17 2014-07-28 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法 Expired - Fee Related CN105745740B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/029,771 2013-09-17
US14/029,771 US20150079799A1 (en) 2013-09-17 2013-09-17 Method for stabilizing an interface post etch to minimize queue time issues before next processing step
PCT/US2014/048491 WO2015041746A1 (en) 2013-09-17 2014-07-28 Methods for stabilizing an interface post etch to minimize queue time issues before next processing step

Publications (2)

Publication Number Publication Date
CN105745740A true CN105745740A (zh) 2016-07-06
CN105745740B CN105745740B (zh) 2019-11-26

Family

ID=52668322

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480051107.7A Expired - Fee Related CN105745740B (zh) 2013-09-17 2014-07-28 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法

Country Status (6)

Country Link
US (1) US20150079799A1 (zh)
JP (1) JP6469705B2 (zh)
KR (1) KR20160055227A (zh)
CN (1) CN105745740B (zh)
TW (1) TWI640040B (zh)
WO (1) WO2015041746A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206422A (zh) * 2016-08-19 2016-12-07 上海华力微电子有限公司 降低金属硬质掩模大马士革一体化刻蚀缺陷生长的方法
CN109559988A (zh) * 2018-11-30 2019-04-02 德淮半导体有限公司 硅片的制备方法及装置
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170004975A1 (en) * 2013-12-27 2017-01-05 Intel Corporation Technologies for selectively etching oxide and nitride materials and products formed using the same
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276469B2 (en) * 2015-04-17 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor device structure
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
KR102554014B1 (ko) * 2018-06-15 2023-07-11 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치
US10950460B2 (en) 2018-08-08 2021-03-16 Tokyo Electron Limited Method utilizing using post etch pattern encapsulation
KR20210035449A (ko) * 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20230035288A1 (en) * 2021-07-31 2023-02-02 Applied Materials, Inc. Methods for removing etch stop layers

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040072440A1 (en) * 2001-07-02 2004-04-15 Yong-Bae Kim Process for removal of photoresist mask used for making vias in low K carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
TW200503166A (en) * 2002-12-31 2005-01-16 Applied Materials Inc Method of forming a low-k dual damascene interconnect structure
US20050026431A1 (en) * 2003-07-30 2005-02-03 Hitachi High-Technologies Corporation LSI device etching method and apparatus thereof
CN1954424A (zh) * 2003-12-23 2007-04-25 朗姆研究公司 等离子体处理***中的选择性控制
US20090085173A1 (en) * 2007-09-29 2009-04-02 Juergen Boemmels Sidewall protection layer
CN102792426A (zh) * 2010-03-10 2012-11-21 应用材料公司 循环氧化与蚀刻的设备及方法
US20130034968A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US6613682B1 (en) * 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
JP2004319917A (ja) * 2003-04-18 2004-11-11 Matsushita Electric Ind Co Ltd 絶縁膜除去方法および配線構造形成方法
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
WO2007034391A2 (en) * 2005-09-23 2007-03-29 Nxp B.V. A method of fabricating a structure for a semiconductor device
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040072440A1 (en) * 2001-07-02 2004-04-15 Yong-Bae Kim Process for removal of photoresist mask used for making vias in low K carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
TW200503166A (en) * 2002-12-31 2005-01-16 Applied Materials Inc Method of forming a low-k dual damascene interconnect structure
US20050026431A1 (en) * 2003-07-30 2005-02-03 Hitachi High-Technologies Corporation LSI device etching method and apparatus thereof
CN1954424A (zh) * 2003-12-23 2007-04-25 朗姆研究公司 等离子体处理***中的选择性控制
US20090085173A1 (en) * 2007-09-29 2009-04-02 Juergen Boemmels Sidewall protection layer
CN102792426A (zh) * 2010-03-10 2012-11-21 应用材料公司 循环氧化与蚀刻的设备及方法
US20130034968A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206422A (zh) * 2016-08-19 2016-12-07 上海华力微电子有限公司 降低金属硬质掩模大马士革一体化刻蚀缺陷生长的方法
CN106206422B (zh) * 2016-08-19 2019-05-31 上海华力微电子有限公司 降低金属硬质掩模大马士革一体化刻蚀缺陷生长的方法
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
CN109559988A (zh) * 2018-11-30 2019-04-02 德淮半导体有限公司 硅片的制备方法及装置

Also Published As

Publication number Publication date
KR20160055227A (ko) 2016-05-17
JP2016530729A (ja) 2016-09-29
TWI640040B (zh) 2018-11-01
US20150079799A1 (en) 2015-03-19
CN105745740B (zh) 2019-11-26
WO2015041746A1 (en) 2015-03-26
JP6469705B2 (ja) 2019-02-13
TW201515103A (zh) 2015-04-16

Similar Documents

Publication Publication Date Title
CN105745740A (zh) 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法
CN105917440B (zh) 用于在双镶嵌结构中蚀刻电介质阻挡层的方法
KR102501364B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
KR102308047B1 (ko) 프로세싱 시스템을 사용하는 에어 갭 구조 통합
TWI641022B (zh) 於磊晶成長前預清潔基板表面之方法及設備
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US7183201B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US20130048605A1 (en) Double patterning etching process
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US6750141B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
JP2002275631A (ja) オルガノシリケート層の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US11107699B2 (en) Semiconductor manufacturing process
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
US6521546B1 (en) Method of making a fluoro-organosilicate layer
CN103930992A (zh) 间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法
US20130095665A1 (en) Systems and methods for processing substrates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20191126

Termination date: 20210728