CN105493255A - 用于横向裁剪硬掩模的方法 - Google Patents

用于横向裁剪硬掩模的方法 Download PDF

Info

Publication number
CN105493255A
CN105493255A CN201480047964.XA CN201480047964A CN105493255A CN 105493255 A CN105493255 A CN 105493255A CN 201480047964 A CN201480047964 A CN 201480047964A CN 105493255 A CN105493255 A CN 105493255A
Authority
CN
China
Prior art keywords
hard mask
substrate
gas
plasma
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480047964.XA
Other languages
English (en)
Other versions
CN105493255B (zh
Inventor
阿洛科·兰詹
谢尔盖·A·沃罗宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN105493255A publication Critical patent/CN105493255A/zh
Application granted granted Critical
Publication of CN105493255B publication Critical patent/CN105493255B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本文中的技术包括用于聚合碳氟化合物等离子体中的介电质的可控的横向蚀刻的方法。方法可以包括使用作为硅蚀刻处理的一部分的掩模裁剪步骤的介电叠加蚀刻。对于介电掩模裁剪使用碳氟化合物提供数个优点,例如施加直接以及向工艺流程提供额外的灵活性。由此,本文中的技术提供了修改或调整硬掩模上的CD的方法。通常,该技术可以包括使用氟基和碳氟化合物基、或氟代烃基化学品用于产生等离子体以及控制两种化学品的比例。在没有本文中公开的硬掩模裁剪方法的情况下,如果硬掩模CD不精确,则晶片被废弃。在具有如本文中公开的硅蚀刻中的硬掩模裁剪能力的情况下,可以对给定CD重新定目标以去除晶片废弃物。

Description

用于横向裁剪硬掩模的方法
相关申请的交叉引用
本申请要求2013年8月27日提交的题为“MethodforLaterallyTrimmingaHardmask”的美国临时专利申请第61/870,546号的权益,其全部内容通过引用并入本文中。
背景技术
本发明涉及等离子体处理,并且具体地,涉及使用等离子体的产物来蚀刻基片。
发明内容
半导体制造涉及在基片中制作部件图案。该图案通常使用光刻技术来制作。光刻技术涉及在光刻胶中制作潜式图案;使潜式图案显影成为凸纹图案;以及接着将凸纹图案转移至一个或更多个下面的层中,以制作硬掩模、介电膜、或可以耐久或牺牲的各种结构。将凸纹图案转移至下面的层中可以通过蚀刻技术来实现。如果给定得到的硬掩模具有关键尺寸(criticaldimension,CD)过大的线或部件,则相应的基片(晶片)不可用并且通常被废弃。由过大的硬掩模CD所产生的这样的晶片损失会是巨大的损失。
本文中的技术提供了修改或调整硬掩模上不满足特定值的CD的方法。本文中的技术提供了缩小硬掩模尺寸以防止晶片变得不可用的方法。具体地,本文中的技术提供了横向蚀刻介电硬掩模以落在可用于后续制造的特定CD内的方法。技术包括改变突破蚀刻或主要蚀刻中的化学品以调整CD。这可以裁剪掉介电硬掩模的相当大部分例如高达6nm或更多。例如,该技术可以将结构的关键尺寸或尺寸从约36nm减小至约30nm。
在处理等离子体时改变碳与氟(C/F)的原子比使得能够对介电掩模侧壁进行保护性的聚合物厚度控制。控制该比使得精确横向蚀刻该结构(即,“裁剪”引入的掩模)成为可能。可以通过调整分子结构中具有不同C:F比的两个或数个前驱体的流量来控制等离子体中的C/F比。
本文中的方法的一个益处是输出的关键尺寸(CD)可以被独立控制,而无需在光刻和硬掩模开口(HardMaskOpening,HMO)处理中调整。本文中的部件可以显著简化过程优化并且减少工艺开发时间。
本文中的方法包括用于“介电Si”叠加蚀刻的新的蚀刻方案,该“介电Si”叠加蚀刻包括作为Si蚀刻处理的一部分的掩模裁剪步骤。由此,本文中的技术可以提供一体化解决方案。对于介电掩模裁剪使用碳氟化合物混合物提供了数个优点,例如施加直接并且向工艺流程赋予额外的灵活性。在没有本文中公开的硬掩模裁剪方法的情况下,如果硬掩模CD不精确,则晶片被废弃。在具有如本文中公开的硅蚀刻中的硬掩模裁剪能力的情况下,可以对给定CD重新定目标以去除晶片废弃物。例如,如果硬掩模开口处理工具可以仅针对被识别为宽(过宽)的特定CD制作良好的轮廓,则可以通过执行本文中的技术来使该宽的CD变窄。
一个示例性实施方式包括在基片上蚀刻部件的方法。这样的方法可以包括将基片布置在等离子体处理***中的基片保持器上。基片具有限定使下面的基片暴露的开口的图案化硬掩模。图案化硬掩模具有关键尺寸(CD)大于目标部件的预定特定关键尺寸的部件。使蚀刻工艺气体流入等离子体处理***中。蚀刻工艺气体包括含氟气体。将钝化工艺气体流入等离子体处理***中。钝化工艺气体包括碳氟化合物。从蚀刻工艺气体和钝化工艺气体形成等离子体,以使得基片暴露于等离子体。通过控制蚀刻工艺气体与钝化工艺气体的比例,以及通过控制等离子体处理***中的电极偏压,使用等离子体的产物来横向蚀刻硬掩模的侧壁。
当然,为了清楚起见,已呈现了如本文中所述的对不同步骤的讨论的顺序。通常,这些步骤可以以任何适合的顺序执行。另外,虽然本文中的不同特征、技术、配置等中的每一个可以在本公开的不同地方讨论,但是旨在可以彼此独立地或彼此结合地执行这些构想中的每一个。因此,本发明可以以许多不同的方式体现和审视。
注意,本发明内容部分不指定本公开内容或要求保护的发明的每一个实施方式和/或逐渐新颖的方面。相反,本发明内容部分仅提供了对比常规技术新颖的不同实施方式和相应观点的初步讨论。对于本发明和实施方式的另外的细节和/或可能的观点,读者被引导至如下面进一步讨论的本公开内容的具体实施方式部分和相应的附图。
附图说明
参照结合附图考虑的下面的详细描述,对本发明的各个实施方式及其许多附带优点的更完整理解将变得明显。附图不一定按比例,相反重点放在了示出特征、原理和构想。
图1A是根据本文中的实施方式处理的示例性基片的截面示意图。
图1B是根据本文中的实施方式处理的示例性基片的截面示意图。
图2A是根据本文中的实施方式处理的示例性基片的截面示意图。
图2B是根据本文中的实施方式处理的示例性基片的截面示意图。
图3是用于处理半导体基片的示例性常规流程。
图4是根据本文中的实施方式的用于处理半导体基片的示例性流程。
具体实施方式
本文中的技术包括聚合碳氟化合物等离子体中的介电质的可控的横向蚀刻的方法。本文中的方法包括用于“介电Si”叠加蚀刻的蚀刻方案,该“介电Si”叠加蚀刻包括作为Si蚀刻处理的一部分的掩模裁剪步骤。由此,本文中的技术可以提供一体化解决方案。对于介电掩模裁剪使用碳氟化合物混合物提供了数个优点,例如施加直接并且向工艺流程赋予额外的灵活性。在没有本文中公开的硬掩模裁剪方法的情况下,如果硬掩模CD不精确,则晶片被废弃。在具有如本文中公开的硅蚀刻中的硬掩模裁剪能力的情况下,可以对给定CD重新定目标以去除晶片废弃物。例如,如果硬掩模开口处理工具可以仅针对被识别为宽(过宽)的特定CD制作良好的轮廓,则可以通过执行本文中的技术来使该宽的CD变窄。
本文中的技术提供了修改或调整硬掩模上的CD的方法。本文中的技术提供了缩小硬掩模尺寸以防止晶片变得不可用的方法。具体地,本文中的技术提供了横向蚀刻介电硬掩模以落在可用于后续制造的特定CD内的方法。通常,该技术可以包括使用氟基和碳氟化合物基或氟代烃基化学品用于产生等离子体,以及控制两种化学品的比例。
一个实施方式包括用于在基片上蚀刻部件的方法。该方法包括将基片布置在等离子体处理***中的基片保持器上。例如,将半导体晶片加载在等离子体处理室中的静电吸盘上。基片具有限定使下面的基片暴露的开口的图案化硬掩模。图案化硬掩模具有关键尺寸(CD)大于目标部件的预定特定关键尺寸的部件。注意,图案化硬掩模可以是单个层或单一材料,或者可以包括多个层和/或多种材料。在具有包括硬掩模的多个层的实施方式中,这样的层可以包括氧化硅层和氮化硅层。在一些实施方式中,下面的基片可以是硅基片。在一些实施方式中,基片可以具有在图案化硬掩模上的图案化含硅层。图案化硬掩模可以选自介电材料,并且可以包括氮化硅和/或氧化硅。
具有关键尺寸(CD)大于目标部件的预定特定关键尺寸的部件的图案化硬掩模可以从一个或更多个测量步骤中被识别以验证关键尺寸。例如,扫描电子显微镜***可以识别图案化硬掩模部件的关键尺寸大于目标部件的预定特定关键尺寸,这可以触发将基片布置在基片保持器上。
图1A和图2A是需要调整的示例性基片部分的截面示意图。图1A示出具有保护层115的硬掩模方案,保护层115可以是在介电硬掩模110上的硅层。介电硬掩模110可以是例如氮化物或氧化物材料。该介电硬掩模110可以包括不同或相同的介电材料的多个层。介电硬掩模110可以被实施为单一介电材料的层。在其他替选实施方式中,介电硬掩模110可以包括有机平坦化层(OPL)以及氧化物和/或氮化物层。可替选地,硬掩模可以是一半氮化硅和一半氧化硅。介电硬掩模110可以定位在下面的基片105上,基片105可以包括硅基片。图2A类似于图1A,但是不包括保护层115。可以使用制作光刻胶凸纹图案的常规光刻图案化技术,之后将凸纹图案转移至介电硬掩模110中的蚀刻技术来形成由硬掩模110限定的图案。图1A和2A的介电硬掩模110具有初始CD125,其大于要转移至下面的基片105中的特定尺寸。
在基片布置在等离子体处理***中的情况下,蚀刻工艺气体被流入等离子体处理***中。该蚀刻工艺气体包括含氟气体。通过非限制性示例的方式,流入蚀刻工艺气体可以包括流入SF6(六氟化硫)、NF3(三氟化氮)、CF4(四氟化碳)或XeF2(二氟化氙)等、或其组合。
钝化工艺气体也被流入等离子体处理***中。钝化工艺气体包括碳氟化合物。通过非限制性示例的方式,钝化工艺气体可以包括选自N2和H2的双原子分子气体。可替选地,钝化工艺气体可以包括CH2F2(二氟甲烷)、CF4、CHF3、CH3F、C2F4、C4F8、C4F6、C5HF7、C5F8、或其组合。方法还可以包括将稀释剂工艺气体流入等离子体处理***中。示例性稀释剂工艺气体包括N2、氩气和氦气。
实施方式包括从蚀刻工艺气体和钝化工艺气体形成等离子体,使得基片暴露于等离子体。根据选择使用的等离子体处理室的特定类型,可以直接在给定的基片上或者在给定的基片上方几厘米形成等离子体。
方法包括通过控制蚀刻工艺气体与钝化工艺气体的比例,以及通过控制等离子体处理***中电极偏压,使用等离子体的产物来横向蚀刻硬掩模的侧壁。控制蚀刻工艺气体与钝化工艺气体的比例可以包括保持所述比例在约0.10至10.0之间。控制蚀刻工艺气体与钝化工艺气体的比例可以替选地包括保持所述比例在约2.5至5.0之间。控制电极偏压例如可以包括控制电极偏压使得来自等离子体的产物存在各向同性运动,即,存在朝向基片的各向同性流动分量。控制电极偏压可以包括使来自等离子体的产物的第一部分各向异性地撞击基片,并且来自等离子体的产物的剩余部分各向同性地撞击基片。在其他实施方式中,控制电极偏压可以包括保持完全各向同性的等离子体产物暴露于基片。横向蚀刻侧壁可以包括横向蚀刻预定量的介电材料,使得图案化的硬掩模上的部件的关键尺寸被减小至部件的预定特定关键尺寸内。在一些实施方式中,横向蚀刻侧壁可以包括将部件厚度减小约0.3纳米至30纳米。在其他实施方式中,横向蚀刻侧壁可以包括将部件厚度减小约5纳米至15纳米。
图1B和图2B示出了在完成聚合等离子体(例如,CH2F2和SF6)中的反应离子蚀刻以及改变气体比例以控制介电硬掩模的横向蚀刻速率之后的示例性结果。注意到所产生的CD126具有比例初始CD125小的尺寸。还注意到可能发生一些竖直裁剪,但是通过选择足够厚度的介电硬掩模110,任何竖直蚀刻将不会影响诸如图案转移至下面的基片105的后续处理。在一些实施方式中,保护层115可以被去除作为横向蚀刻处理的一部分,或者可以在完成横向蚀刻步骤之后单独地去除保护层115。因此,图1B和图2B中的示例性结果示出了已经被横向调整并且因此使得基片能够继续进行制造的介电掩模。
图3和图4是特定制造顺序的流程图。图3示出了用于测量和质量控制以最优化关键尺寸的常规工艺流程。CD最优化可以指定光刻和介电掩模蚀刻工艺中的改变。当错误发生时,工艺最优化从头开始,这使得制造复杂,这是因为最优化涉及相协调的许多处理工具,并且可能需要相对长的时间周期。流程开始于用于对基片进行图案化的光刻步骤305。这可以包括膜涂覆、曝光和显影。光刻之后是CD测量310,可以使用扫描电子显微镜(SEM)或其他测量技术来执行CD测量310。SEM图像的评价(312)可以用于确定图案化的光刻胶层的CD是否是可接受的。如果图案化的光刻胶是不可接受的,那么可以重新进行光刻步骤。如果图案化的光刻胶(凸纹图案)的CD是可接受的,那么工艺流程可以继续诸如硬掩模开口步骤的后续步骤。连同在先工艺控制(APC)(315),由光刻胶限定的图案经由掩模蚀刻处理320被转移至硬掩模。在完成掩模蚀刻处理320之后,光刻胶被去除并且可以评价硬掩模CD的SEM图像(322)。第二CD评价325被完成。如果硬掩模的CD是可接受的,那么处理可以继续至诸如在不同蚀刻工具上的叠加蚀刻(330)。然而,如果SEM图像的评价识别出不可接受的硬掩模CD,那么相应批次的晶片需要被废弃(329),这可能是严重的损失,特别是因为重新调整流程和开始对于替换组的晶片的制造的时间损失。例如,如果目标硬掩模CD是13nm的线,但是从硬掩模开口步骤产生的线是25nm或36nm等,那么晶片对于转移可接受的图案来说基本上无用。
图4是根据本文中的实施方式的硬掩模蚀刻工艺流程。图4的工艺流程示出了包括当需要时的CD调整的CD最优化。因此,可以通过调整沉积/蚀刻处理化学品来在最终的处理步骤440中最优化CD,这反过来节约了资源以及工艺开发时间。流程开始于用于对基片进行图案化的光刻步骤405。这可以包括膜涂覆、曝光和显影。光刻之后是CD测量410,可以使用扫描电子显微镜(SEM)或其他测量技术来执行CD测量410。SEM图像的评价(412)可以用于确定图案化的光刻胶层的CD是否是可接受的。如果图案化的光刻胶是不可接受的,那么可以重新进行光刻步骤。如果图案化的光刻胶(凸纹图案)的CD是可接受的,那么工艺流程可以继续诸如硬掩模开口步骤的后续步骤。连同在先工艺控制(APC)(415),由光刻胶限定的图案经由掩模蚀刻处理420被转移至硬掩模。在完成掩模蚀刻处理420之后,光刻胶被去除并且可以评价硬掩模CD的SEM图像(422)。第二CD评价442被完成。如果硬掩模的CD是可接受的,那么处理可以继续至诸如在不同蚀刻工具上的叠加蚀刻(446)。然而,如果CD是不可接受的,那么可以执行横向裁剪步骤以使用如上描述的横向裁剪过程444来裁剪比目标CD宽的给定CD。因此,如果硬掩模CD过宽,那么执行横向蚀刻步骤,横向蚀刻步骤使用沉积和钝化气体(例如,SF6和CH2F2)的最优化比例以调整不可接受的介电硬掩模CD。
已经通过增加或减少任一气体来控制蚀刻气体的比例获得了有利的横向蚀刻结果。通过非限制性示例的方式,可以改变流入处理室中钝化工艺气体的量。示例性工艺条件可以包括15mT的压强以及2000W/150W的功率,并且工艺气体混合物流入时间为约10秒至20秒。示例性工艺气体混合物可以包括30sccm的SF6、100sccm的N2、500sccm的氩、以及可以产生不同结果的不同量的CH2F2。如果给定的来料掩模CD为约25nm,那么当流入27sccm的CH2F2时,所产生的CD可以为约12nm。可替选地,当流入32sccm的CH2F2时,所产生的CD可以为约18nm。作为另一示例,当流入45sccm的CH2F2时,所产生的CD可以为约20nm。横向蚀刻结果可以得益于减小侧壁C/F保护,使得给定掩模可以总体上被细化。
已经通过调整蚀刻工艺气体或蚀刻工艺气体混合物获得了类似的结果。例如,工艺气体混合物可以包括45sccm的CH2F2、100sccm的N2、500sccm的氩气以及可变量的SF6,并且工艺气体混合物流入时间为约15秒至30秒。在使用38sccm的SF6蚀刻36nm的初始掩模CD的情况下,那么掩模CD被减小至29nm。当流入41sccm的SF6时,那么掩模CD被减小至27nm。当流入43sccm的SF6时,那么掩模CD被减小至26nm。因此,可以通过增加SF6的流量——即,蚀刻工艺气体——来增加硬掩模的细化。
现在返回至图1A,介电掩模层110可以可选地包括在顶部的另外的硅层。使用SF6化学品可以使得作为“高效(lean)”化学品进行更好的蚀刻,同时使得沿横向(水平)方向裁剪。可以使用该化学品有效地控制竖直蚀刻和横向蚀刻两者。蚀刻可以是部分各向同性或完全各向同性的。下硅层(衬底105)可以在该步骤期间被部分蚀刻。采用本文中的这样的细化,制造了指定CD的线条或部件,其然后准备用于诸如反转步骤或叠加蚀刻步骤的继续的制造处理。
使用相对高流量的CH2F2(45sccm),所产生的CD可以相对大(20.3nm)。当减小CH2F2的流量至32sccm时,所产生的CD为17.5nm。继续下去,CH2F2的27sccm的流量可以产生12.3nm的掩模CD。注意到这些示例性结果全部使用了30sccm的SF6。通过减少CH2F2,可以更多地裁剪衬底或掩模部件。因此,通过改变CH2F2的量,可以制造并且调整介电硬掩模的CD细化。在其他示例中,SF6与CH2F3的比例可以在约0.2至10.0之间变化。可选地,可以改变SF6的流量来替代改变CH2F2的流量。在许多应用中,增加SF6流量通常导致更小的CD。因此,通过减小CH2F2和/或增加SF6,两种技术均可以产生沿期望方向或期望量裁剪的CD。图1B示出了所产生的被裁剪或被调整的介电掩模。注意到所产生的CD126小于初始CD125。还注意到图1B的介电掩模110保持初始高度,这是由在横向蚀刻期间保护层115导致的。可以采用其他蚀刻剂和钝化气体替代前述的蚀刻剂和钝化气体。
在其中没有保护硅的情况下,可能存在除了横向蚀刻外的介电硬掩模的竖直蚀刻。图2B示出了没有用于保护的上硅层的介电掩模方案的示例。注意到该硬掩模存在一些下降(竖直裁剪),但是根据所产生的硬掩模的高度,一些竖直裁剪可以是可接受的。
在横向蚀刻机制中,钝化剂(例如,CH2F2)可以用于控制或防止蚀刻剂(SF6或NF3)快速地蚀刻去除整个硬掩模层。注意到可以使用包括如下的所有各种各样的氟代烃:CF4、CHF3、CH3F、C2F4、C4F8、C4F6、C5HF7和C5F8。可以使用诸如N2、氩气和氦气的载气和/或稀释剂气体。可以在主要蚀刻步骤或掩模蚀刻步骤之后执行这样的横向裁剪,然而可选地,可以与主要蚀刻步骤一起或其后立即执行横向蚀刻步骤。
可以在许多现存的等离子体处理室中执行这种横向蚀刻。例如,ICP(感应耦合***)、CCP(电容耦合***)、微波***、表面波等离子体***等是众所周知的并且可以用于执行本文中的横向蚀刻技术。这样的等离子体处理***通常包括具有基片保持器的处理室。功率耦合***可以用于给工艺气体提供能量以形成等离子体。工艺气体入口可以从各个入口位置供给一种或更多种工艺气体化学品进入处理室。真空泵可以排出来自处理室的气体。电气***可以通过耦合用于各向异性蚀刻的偏置功率或去除用于各向同性蚀刻的偏置功率来控制离子能量。
在之前的描述中,已经阐述了特定的细节,例如,处理***的特定几何结构和各个特征的描述以及其中所使用的工艺。然而,应当理解,本文中的技术可以在脱离这些特定细节的其他实施方式中实践,并且这样的细节用于说明的目的而不是限制。已经参照附图描述了本文中公开的实施方式。类似地,用于说明的目的,已经阐述了特定的数目、材料和配置以提供彻底的理解。然而,可以在没有这样的特定细节的情况下实践实施方式。通过相同的附图标记来表示具有大体上相同功能性结构的特征,并且因此可以省略任何多余的描述。
已经描述了各种技术作为多个分立操作以辅助理解各个实施方式。描述的顺序不应当被解释为意指这些操作必要地依赖顺序。实际上,这些操作无需以呈现的顺序执行。所描述的操作可以以不同于所描述的实施方式的顺序来执行。在另外的实施方式中,可以执行各种另外的操作和/或可以省略所描述的操作。
本文中所使用的“基片”或“目标基片”通常指根据本发明被处理的对象。基片可以包括器件——特别是半导体或其他电子器件——的任何材料部分或结构,并且基片例如可以是诸如半导体晶片的基底基片结构或者诸如薄膜的在基底基片结构上/上覆盖的层。因此,基片不限于任何特定的基底结构、下面的层或在上面覆盖的层、图案化的或未图案化的,并且更确切地说,基片期望包括任何这样的层或基底结构以及层和/或基底结构的任何组合。描述可以引用特定类型的基片,但是这仅用于说明的目的。
本领域技术人员还将理解,可以对以上说明的技术的操作进行许多变型,同时仍获得本发明的相同的目的。这样的变型旨在被本公开内容的范围所覆盖。如此,本发明的实施方式的前述描述不旨在是限制性的。更确切地说,对本发明的实施方式的任何限制存在于所附权利要求书中。

Claims (20)

1.一种用于在基片上蚀刻部件的方法,所述方法包括:
将基片布置在等离子体处理***中的基片保持器上,所述基片具有限定使下面的基片暴露的开口的图案化硬掩模,所述图案化硬掩模具有关键尺寸(CD)大于目标部件的预定特定关键尺寸的部件;
使蚀刻工艺气体流入所述等离子体处理***中,所述蚀刻工艺气体包括含氟气体;
使钝化工艺气体流入所述等离子体处理***中,所述钝化工艺气体包含碳氟化合物;
由所述蚀刻工艺气体和所述钝化工艺气体形成等离子体,以使得所述基片暴露于所述等离子体;以及
通过控制蚀刻工艺气体与钝化工艺气体的比例,以及通过控制所述等离子体处理***中的电极偏压,使用所述等离子体的产物来横向蚀刻所述硬掩模的侧壁。
2.根据权利要求1所述的方法,其中,所述下面的基片是硅基片,并且其中,所述钝化工艺气体包括选自N2和H2的双原子分子气体。
3.根据权利要求1所述的方法,其中,控制蚀刻工艺气体与钝化工艺气体的比例包括将所述比例保持在0.10至10.0之间。
4.根据权利要求3所述的方法,其中,控制蚀刻工艺气体与钝化工艺气体的比例包括将所述比例保持在2.5至5.0之间。
5.根据权利要求1所述的方法,其中,控制电极偏压包括控制电极偏压,以使得来自所述等离子体的产物存在各向同性运动。
6.根据权利要求1所述的方法,其中,控制电极偏压包括使来自所述等离子体的产物的第一部分各向异性地撞击所述基片、以及来自所述等离子体的产物的剩余部分各向同性地撞击所述基片。
7.根据权利要求1所述的方法,其中,控制电极偏压包括保持完全各向同性的等离子体产物暴露于所述基片。
8.根据权利要求1所述的方法,其中,在所述基片保持器上布置所述基片包括在所述图案化硬掩模的顶部上具有图案化含硅层的基片。
9.根据权利要求1所述的方法,其中,在所述基片保持器上布置所述基片包括具有两个或更多层的图案化硬掩模。
10.根据权利要求9所述的方法,其中,所述图案化硬掩模的所述两个或更多层包括硅氧化物和硅氮化物。
11.根据权利要求1所述的方法,其中,在所述基片保持器上布置所述基片包括选自介电材料的图案化硬掩模。
12.根据权利要求11所述的方法,其中,在所述基片保持器上布置所述基片包括作为选自硅氮化物和硅氧化物的材料的图案化硬掩模。
13.根据权利要求1所述的方法,其中,使所述蚀刻工艺气体流入包括使选自SF6、NF3、CF4、和XeF2的气体流入。
14.根据权利要求1所述的方法,其中,使所述钝化工艺气体流入包括使选自CH2F2、CF4、CHF3、CH3F、C2F4、C4F8、C4F6、C5HF7、以及C5F8的气体流入。
15.根据权利要求1所述的方法,还包括使稀释剂工艺气体流入所述等离子体处理***中。
16.根据权利要求15所述的方法,其中,使所述稀释剂工艺气体流入包括使N2、氩、和氦流入。
17.根据权利要求1所述的方法,其中,横向蚀刻侧壁包括横向蚀刻预定量,以使得所述图案化硬掩模上的所述部件的关键尺寸被减小至所述部件的预定特定关键尺寸之内。
18.根据权利要求1所述的方法,其中,横向蚀刻侧壁包括将部件厚度减小约0.3纳米至30纳米。
19.根据权利要求18所述的方法,其中,横向蚀刻侧壁包括将部件厚度减小约5纳米至15纳米。
20.根据权利要求1所述的方法,其中,将所述基片布置在所述基片保持器上是响应于经由扫描电子显微镜识别所述图案化硬掩模部件的关键尺寸大于目标部件的所述预定特定关键尺寸。
CN201480047964.XA 2013-08-27 2014-08-21 用于横向裁剪硬掩模的方法 Active CN105493255B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361870546P 2013-08-27 2013-08-27
US61/870,546 2013-08-27
PCT/US2014/052124 WO2015031163A1 (en) 2013-08-27 2014-08-21 Method for laterally trimming a hardmask

Publications (2)

Publication Number Publication Date
CN105493255A true CN105493255A (zh) 2016-04-13
CN105493255B CN105493255B (zh) 2021-04-20

Family

ID=52583833

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480047964.XA Active CN105493255B (zh) 2013-08-27 2014-08-21 用于横向裁剪硬掩模的方法

Country Status (6)

Country Link
US (1) US9779952B2 (zh)
JP (1) JP2016529736A (zh)
KR (1) KR20160044545A (zh)
CN (1) CN105493255B (zh)
TW (1) TWI527117B (zh)
WO (1) WO2015031163A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110444475A (zh) * 2018-05-03 2019-11-12 东京毅力科创株式会社 使线宽粗糙度和线边缘粗糙度最小化的关键尺寸修整方法
CN111106005A (zh) * 2018-10-29 2020-05-05 中微半导体设备(上海)股份有限公司 一种图形的修剪方法及等离子体处理装置
CN112164647A (zh) * 2020-09-25 2021-01-01 华虹半导体(无锡)有限公司 沟槽刻蚀的方法
CN113169068A (zh) * 2018-12-18 2021-07-23 东京毅力科创株式会社 碳硬掩模、成膜装置和成膜方法

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9941125B2 (en) 2015-08-31 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9934984B2 (en) 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
KR102374204B1 (ko) * 2016-03-25 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10347506B2 (en) 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10522751B2 (en) 2018-05-22 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. MTJ CD variation by HM trimming
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112687537B (zh) * 2020-12-17 2024-05-17 北京北方华创微电子装备有限公司 金属硬掩膜刻蚀方法
KR102669157B1 (ko) 2021-03-03 2024-05-28 주식회사 에이치에스아쿠아피드 수산 부산물과 마른멸치 부산물을 이용한 기능성 어분 제조방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030045101A1 (en) * 2000-02-11 2003-03-06 Flanner Janet M. Convertible hot edge ring to improve low-K dielectric etch
US20070037101A1 (en) * 2005-08-15 2007-02-15 Fujitsu Limited Manufacture method for micro structure
US20070249177A1 (en) * 2002-08-13 2007-10-25 Lam Research Corporation Method for Hard Mask CD Trim
CN101171666A (zh) * 2005-03-08 2008-04-30 兰姆研究有限公司 用于蚀刻工艺的稳定的光致抗蚀剂结构
CN101213649A (zh) * 2005-06-28 2008-07-02 美光科技公司 半导体处理方法和半导体构造
CN101465379A (zh) * 2007-12-21 2009-06-24 海力士半导体有限公司 半导体器件及其制造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6423457B1 (en) * 2000-01-27 2002-07-23 Advanced Micro Devices, Inc. In-situ process for monitoring lateral photoresist etching
JP2001237415A (ja) * 2000-02-21 2001-08-31 Nec Corp 半導体装置の製造方法
US6794230B2 (en) * 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7491647B2 (en) 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
JP2007081383A (ja) * 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US8367303B2 (en) 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
KR100958798B1 (ko) * 2008-04-04 2010-05-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP5420923B2 (ja) * 2009-02-10 2014-02-19 株式会社ナノクリエート X線タルボ回折格子の製造方法
US9324572B2 (en) * 2010-03-04 2016-04-26 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030045101A1 (en) * 2000-02-11 2003-03-06 Flanner Janet M. Convertible hot edge ring to improve low-K dielectric etch
US20070249177A1 (en) * 2002-08-13 2007-10-25 Lam Research Corporation Method for Hard Mask CD Trim
CN101171666A (zh) * 2005-03-08 2008-04-30 兰姆研究有限公司 用于蚀刻工艺的稳定的光致抗蚀剂结构
CN101213649A (zh) * 2005-06-28 2008-07-02 美光科技公司 半导体处理方法和半导体构造
US20070037101A1 (en) * 2005-08-15 2007-02-15 Fujitsu Limited Manufacture method for micro structure
CN101465379A (zh) * 2007-12-21 2009-06-24 海力士半导体有限公司 半导体器件及其制造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
罗萍,张为编著: "《集成电路设计导论》", 31 May 2010, 清华大学出版社 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110444475A (zh) * 2018-05-03 2019-11-12 东京毅力科创株式会社 使线宽粗糙度和线边缘粗糙度最小化的关键尺寸修整方法
CN111106005A (zh) * 2018-10-29 2020-05-05 中微半导体设备(上海)股份有限公司 一种图形的修剪方法及等离子体处理装置
CN113169068A (zh) * 2018-12-18 2021-07-23 东京毅力科创株式会社 碳硬掩模、成膜装置和成膜方法
CN112164647A (zh) * 2020-09-25 2021-01-01 华虹半导体(无锡)有限公司 沟槽刻蚀的方法
CN112164647B (zh) * 2020-09-25 2022-12-27 华虹半导体(无锡)有限公司 沟槽刻蚀的方法

Also Published As

Publication number Publication date
US9779952B2 (en) 2017-10-03
TWI527117B (zh) 2016-03-21
CN105493255B (zh) 2021-04-20
TW201517168A (zh) 2015-05-01
JP2016529736A (ja) 2016-09-23
WO2015031163A1 (en) 2015-03-05
KR20160044545A (ko) 2016-04-25
US20150064918A1 (en) 2015-03-05

Similar Documents

Publication Publication Date Title
CN105493255A (zh) 用于横向裁剪硬掩模的方法
JP6734973B2 (ja) プラズマ処理方法
TWI297179B (en) Use of ammonia for etching organic low-k dielectrics
JP4852196B2 (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
US20040157457A1 (en) Methods of using polymer films to form micro-structures
EP2056341A2 (en) Methods for forming high aspect ratio features on a substrate
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
US8133817B2 (en) Shallow trench isolation etch process
JP2013030778A (ja) 二層レジストプラズマエッチングの方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN106328498A (zh) 半导体器件的形成方法
JP2023513771A (ja) 無限選択性を有する高アスペクト比エッチング
US10991595B1 (en) Dry etching process for manufacturing trench structure of semiconductor apparatus
JP7158252B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
Wang et al. Process Development of Radical Based Dry Clean for Advanced 3D NAND Fabrication
US11315795B2 (en) Substrate processing method and substrate processing apparatus
JP4500023B2 (ja) 層間絶縁膜のドライエッチング方法
CN108022838A (zh) 等离子体蚀刻方法
US20040157466A1 (en) Methods of forming polymer films and of using such polymer films to form structures on substrates
WO2023091299A1 (en) Silicon etch with organochloride
WO2023215385A1 (en) Organochloride etch with passivation and profile control
CN117253788A (zh) 一种侧壁刻蚀方法和半导体工艺设备
WO2024063871A1 (en) High aspect ratio contact (harc) etch

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant