CN105185725A - 气体传送***的整体式陶瓷组件及其制造和使用方法 - Google Patents

气体传送***的整体式陶瓷组件及其制造和使用方法 Download PDF

Info

Publication number
CN105185725A
CN105185725A CN201510161585.XA CN201510161585A CN105185725A CN 105185725 A CN105185725 A CN 105185725A CN 201510161585 A CN201510161585 A CN 201510161585A CN 105185725 A CN105185725 A CN 105185725A
Authority
CN
China
Prior art keywords
green compact
delivery system
ceramic material
integral type
air delivery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510161585.XA
Other languages
English (en)
Inventor
约翰·多尔蒂
伊克巴尔·谢里夫
迈克·伊盖梅尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105185725A publication Critical patent/CN105185725A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28BSHAPING CLAY OR OTHER CERAMIC COMPOSITIONS; SHAPING SLAG; SHAPING MIXTURES CONTAINING CEMENTITIOUS MATERIAL, e.g. PLASTER
    • B28B1/00Producing shaped prefabricated articles from the material
    • B28B1/001Rapid manufacturing of 3D objects by additive depositing, agglomerating or laminating of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • C04B35/111Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/14Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silica
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/46Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on titanium oxides or titanates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • C04B35/563Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on boron carbide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • C04B35/565Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on silicon carbide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/581Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/584Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/64Burning or sintering processes
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/66Monolithic refractories or refractory mortars, including those whether or not containing clay
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y30/00Apparatus for additive manufacturing; Details thereof or accessories therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metallurgy (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明涉及气体传送***的整体式陶瓷组件及其制造和使用方法,具体涉及一种制作半导体衬底处理装置的气体传送***的整体式陶瓷组件的方法,其中,所述气体传送***被配置成供应处理气体到布置在其下游的气体分配件。所述气体分配件被配置成传送处理气体到所述装置的真空腔的处理区域,其中,该处理区域设置在待处理的半导体衬底的上表面之上。所述方法包括准备陶瓷材料生坯。所述陶瓷材料生坯被形成为气体传送***的理想的整体式陶瓷组件。所述已成形的陶瓷材料生坯被烧制以形成所述气体传送***的整体式陶瓷组件。

Description

气体传送***的整体式陶瓷组件及其制造和使用方法
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求在2014年4月7日申请的美国临时申请No.61/976295的优先权,该申请的全部内容以引用的方式并入本文。
技术领域
本发明涉及半导体衬底处理装置,且更具体涉及气体传送***和制作用于传送处理气体到半导体衬底处理装置的真空腔的气体传送***的方法。
背景技术
半导体衬底处理装置用于通过包括但不限于下列技术的技术处理半导体衬底:等离子体蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、离子注入以及抗蚀剂去除(resistremoval)。半导体衬底处理装置包括气体传送***,处理气体流动通过该气体传送***,并随后通过气体分配件将该处理气体传送到该装置的真空腔的处理区域,所述气体分配件是例如喷头、气体喷射器、气体环或类似物。例如,该气体传送***可以被配置成供应处理气体到气体喷射器以将处理气体分配在腔内的待处理的半导体衬底的表面,该气体喷射器被定位在腔内在半导体衬底之上。现有的气体传送***由很多独立组件构成,这些独立组件中的很多在其内具有让处理气体流过的管道。独立组件的接口需要在接口之间有密封件,使得当通过组装的气体传送***的管道供应处理气体时不会出现泄漏。此外,气体传送***的很多组件由诸如不锈钢之类的金属制成,其中,金属污染物能够通过腐蚀、侵蚀和/或腐蚀/侵蚀而从形成该组件的管道的经处理气体润湿了的表面释放,金属污染物可能导致半导体衬底在其处理期间的污染。因此,对于包括更少的独立组件的气体传送***有需求,并且进一步理想的是,气体传送***的组件是耐腐蚀、耐侵蚀以及耐腐蚀/侵蚀的。
发明内容
本文公开了一种制作半导体衬底处理装置的气体传送***的整体式陶瓷组件的方法,其中,所述气体传送***被配置成供应处理气体到布置在其下游的气体分配件。所述气体分配件被配置成供应所述处理气体到所述装置的真空腔的处理区域,其中,所述处理区域布置在待处理的半导体衬底的上表面之上。所述方法包括准备陶瓷材料生坯。所述陶瓷材料生坯被形成为所述气体传送***的理想的整体式陶瓷组件的形状。烧制所述已成形的陶瓷材料生坯以形成所述气体传送***的所述整体式陶瓷组件。
本文还公开了一种制作半导体衬底处理装置的气体传送***的整体式陶瓷组件的方法,其中,所述气体传送***被配置成供应处理气体到布置在其下游的气体分配件。所述气体分配件被配置成供应所述处理气体到所述装置的真空腔的处理区域,其中,所述处理区域布置在待处理的半导体衬底的上表面之上。所述方法包括准备多个陶瓷材料生坯层。所述陶瓷材料生坯层被逐层层叠,以形成与所述气体传送***的理想的整体式陶瓷组件的形状对应的陶瓷材料生坯。所述陶瓷材料生坯的每个层被图案化,以使一个或者多个竖直的、对角的和/或水平的管道形成在所述已成形的陶瓷材料生坯中,以用于供应通过其中的处理气体,并且一个或者多个进口端口和一个或者多个出口端口形成在所述已成形的陶瓷材料生坯中。烧制所述已成形的陶瓷材料生坯以形成所述气体传送***的所述整体式陶瓷组件。
附图说明
图1示出了根据本发明的实施例的半导体衬底处理装置的一实施例。
图2是根据本发明的实施例的气体传送***的示意图。
图3示出了气体棒的实施例,该气体棒可包括根据本发明的实施例的整体式陶瓷组件。
具体实施方式
本文公开了一种半导体衬底处理装置的气体传送***的整体式陶瓷组件(monolithicceramiccomponent)和制造气体传送***的整体式陶瓷组件的方法,其中,整体式陶瓷组件是耐腐蚀、耐侵蚀以及耐腐蚀/侵蚀的,从而减少在半导体衬底处理期间的半导体衬底的金属污染。半导体衬底处理装置可以通过包括但不限于下述技术的技术处理半导体衬底:等离子体蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、离子注入或者抗蚀剂去除。在以下说明中,描述了许多具体细节以供彻底理解本发明的实施例。然而,对本领域技术人员而言,这些实施例可在没有这些具体细节的一些或全部的情况下实践将是显而易见的。在其他情况下,公知的处理操作没有被详细描述,以免非不必要地模糊本发明所公开的实施例。另外,本文中所使用的术语“大约”在结合数值使用时是指±10%。
随着集成电路器件在其物理尺寸和工作电压方面均持续缩减,其相关的生产产量变得更容易受到颗粒和金属杂质污染影响。因此,制造具有更小物理尺寸的集成电路器件要求颗粒和金属污染级别低于先前认为可接受的级别。
因此,在半导体衬底上的集成电路的制造期间,对半导体衬底的表面上的颗粒污染的控制在实现可靠的器件和获得高产率方面是有用的。当气体传送***的组件的经处理气体润湿的金属表面被腐蚀和/或侵蚀时,诸如半导体衬底处理装置的气体传送***之类的处理设备会是颗粒污染的来源。金属污染的实例包括但不限于具有材料的颗粒,该材料包括铝、钡、钙、铈、铬、铜、镓、铟、铁、锂、镁、镍、钾、钠、锶、锡、钛、钒、钇、锌、锆和/或它们的组合。
半导体衬底处理装置的气体分配***可以使用气体棒(gasstick),其是一系列气体分配和控制组件,例如质量流量控制器、一个或多个压力转换器和/或调节器、加热器、一个或多个过滤器或净化器、歧管、气体流量适配器和切断阀。所使用的组件及其在气体棒内的特定配置可以依赖其设计和应用而变化,很多组件配置在本技术领域是已知的。例如,在半导体衬底处理配置中,超过17种处理气体可以通过气体供给线(gassupplyline)供应给腔,以及被供应给气体分配***组件。气体分配***组件被附连到基板(即气体托盘(pallet))以形成也被称为“气体盘(gaspanel)”或“气体箱”的***。
如上所述,在半导体制造中,随着半导体器件尺寸减小以及留有较小空间来容纳更多的组件,处理变得越来越不耐受颗粒污染。颗粒污染源可以包括气体棒中的金属组件和从高纯气体源传送处理气体到处理腔的混合歧管,在该处理腔中,该颗粒污染物通常将沉积在正处理的所述半导体衬底上。另一颗粒污染源是气体传送***中的组件在单个气体传送***组件的维护和修理或者替换期间暴露于室内空气。
因此,本文公开了一种气体传送***的整体式陶瓷组件以及制造气体传送***的整体式陶瓷组件的方法,其中,整体式陶瓷组件是耐腐蚀、耐侵蚀和耐腐蚀/侵蚀的,从而减少在半导体衬底处理期间半导体衬底的金属污染。整体式陶瓷组件优选地在其内包括处理气体可以流过的管道。如本文所使用的术语,管道可以指通道(channel)、管路(tube)、布线端口(routingport)、管状物(pipe)或者使组件的至少两个位置之间能够气体连通或流体连通的类似物。优选地,一个或多个竖直的、对角的和/或水平的管道布置在整体式陶瓷组件中,并且一个或多个进口端口和一个或多个出口端口形成在整体式陶瓷组件中。此外,与现有可行的方法相比,该制造整体式陶瓷组件的方法使得能利用更少的构成组件来形成更大的组件,其中,该组件在其内具有让处理气体流过的理想的管道路径。例如,现有技术的气体传送***组件是由诸如不锈钢之类的金属制成的,其中,构成组件不得不被组装在一起,由于现有技术的金属构成组件的加工误差,并且还由于期望通过保护涂层(protectivecoating)涂覆形成现有技术的构成组件的管道的经处理润湿的表面,因此为了获得让处理气体能流过的理想的管道路径,需要构成组件之间的连接和密封。然而,由于整体式陶瓷组件由陶瓷材料生坯形成并且随后进行烧制,因此无需将构成组件组装在一起以实现在其中的理想的管道路径,也无需构成组件之间的连接和密封,因此能够形成更大的组件。此外,形成组件的管道的经处理润湿的表面是耐腐蚀、耐侵蚀和耐腐蚀/侵蚀的,在其上无需保护涂层。
构成整体式陶瓷气体传送件的陶瓷材料可以是高纯度硅、碳化硅(SiC)、氧化硅、氮化硅、碳化硼、氮化铝、氧化钛、矾土、氧化锆、氧化铍或氧化铈。优选地,形成气体传送***的整体式陶瓷组件的陶瓷材料是选自由氧化物材料、氮化物材料、硼化物材料、氟化物材料和碳化物材料构成的组。通过使用陶瓷作为气体传送***的整体式陶瓷组件的材料使得该组件高耐受化学溅射(例如,溅射的SiC形成可能不会影响设备性能的Si和C)和高耐受在可能含有氧、卤素和/或氢氟烃的处理气体,或者含有可在半导体衬底处理中使用的处理气体(例如但不限于Cl2、HCI、BCl3、Br、HBr、O2、SO2、CF4、CH2F2、NF3、CH3F、CHF3和SF6)的环境下的腐蚀、侵蚀和/或腐蚀/侵蚀,以避免腐蚀和/或毁坏以及由此引发的结果颗粒生成。
图1示出了可包括气体传送***234的半导体衬底处理装置的一实施例,该气体传送***234包括本文公开的整体式陶瓷组件。如图1所示,电感耦合等离子体处理装置可包括真空腔200(即等离子体蚀刻腔)。真空腔200包括衬底支撑件(下部电极组件)215,衬底支撑件215用于在真空室200的内部支撑半导体衬底214。介电窗20形成真空腔200的顶壁。处理气体通过气体喷射器22喷射到真空腔200的内部。气体传送***234通过气体喷射器22供应处理气体到真空腔200的内部。由气体传送***供应到真空腔内部的处理气体的参数(例如,温度、流速和化学组成)优选地由控制***385控制。
一旦处理气体被导入真空腔200的内部,该处理气体就通过天线18供给能量进入真空腔200内部而被激发成等离子体状态。优选地,该天线18是由RF功率源240和RF阻抗匹配电路238提供能量的外部平面天线(externalplanarantenna),以将RF能量感应耦合入真空腔200。然而,在一可选实施例中,天线18可以是非平面的外部或嵌入天线。由施加RF功率到平面天线所产生的电磁场将真空腔200内部的处理气体激发以在衬底214之上形成高密度等离子体(例如,109-1012离子/cm3)。在蚀刻处理期间,天线18(即,RF线圈)起到与初级线圈在变压器中的作用相似的作用,在真空腔200内生成的等离子体起到与次级线圈在变压器中的作用相似的作用。优选地,天线18通过电连接器238b(即,导线)电气连接到RF阻抗匹配电路238,并且RF功率源240通过电连接器240b电气连接到RF阻抗匹配电路238。
图2是用于半导体衬底处理装置进行处理的典型的气体传送***500的示意图。半导体衬底处理装置的真空腔510通过气体供应线514被供给处理气体。该气体供应线514可以提供处理气体到被布置在真空腔510的上部的气体分配件(例如喷头或气体喷射器)以及气体传送***500的下游。此外,气体供应线514可以提供处理气体到真空腔的下部(例如到围绕半导体衬底支撑件的气体分配环)或者通过布置在衬底支撑件中的气体出口。处理气体可以从气体供给源516、518、520、530被供应到气体线514,来自供给源516、518、520、530的处理气体分别被供给到质量流量控制器(MFC)522、524、526、532。质量流量控制器522、524、526、532供应处理气体到混合歧管528,之后混合气体被引导至气体线514。气体传送***500优选地具有一个或者多个整体式陶瓷组件。例如,所述歧管528优选为气体传送***500的整体式陶瓷组件。
图3示出了气体棒700的截面,该气体棒可包括整体式陶瓷组件并且可以被包含在本文所公开的气体传送***中。尽管气体棒示意为具有某些组件,但这些具体组件并非旨在限制,因为可以使用不同组件和/或使用更少或更多的组件以形成气体棒。此外,尽管以单个气体棒进行说明,但气体棒的数量并非旨在限制。如上所述,多个气体棒形成气体盒或气体盘。在一实施例中,组件上的每个阀可以是集成的表面安装阀。通常,集成的表面安装组件为气体控制组件(例如,阀、过滤器等),该气体控制组件通过在安装组装体上的通道(例如,管道)连接到其他气体控制组件,在该安装组装体上安装有所述气体控制组件。
气体棒700可以具有气体棒进口端口702,以输入供应气体。手动阀704可以用于实施供应气体的供应或隔断供应气体(supplygas)的供应。手动阀704在其上还可以具有上锁/挂牌(lockout/tagout)装置706。工作人员安全条例往往强制要求等离子体处理制造设备包括启动防范能力,如上锁/挂牌机制。通常,上锁是使用诸如锁或钥匙或组合类型之类的积极手段(positivemeans)来将能量隔离装置保持在安全位置的装置。挂牌装置通常是诸如标签之类的***著警示装置和可按既定步骤被牢固地固定到能量隔离装置的附加设备。
调节器708可以用于调节处理气体的气体压力,压力计710可以用于监控处理气体的压力。在一实施例中,压力可以是预设的且无需调节。在另一实施例中,压力转换器(未示出)具有显示器以显示可使用的压力。压力转换器可以被定位成靠近调节器708。过滤器712可用于除去处理气体中的杂质。主切断阀714可以用来防止任何腐蚀性的供给气体残留在气体棒中。主切断阀714可以是具有自动气动阀组件的二通换向阀(two-portvalve),其使阀变成停用状态(关闭),进而有效地阻止气体棒内的等离子体气体流。一旦停用,诸如氮气等无腐蚀性的清洁气体可以被用于清洗气体棒。清洗阀716可以具有用于供清洗处理使用的三个端口:进口端口,出口端口和排出端口。
质量流量控制器(MFC)718可以与清洗阀716相邻。MFC718精确地测量处理气体的流速。将清洗阀716定位到MFC718附近使得使用者可以清洗MFC718中的任意腐蚀性的处理气体。邻近MFC718的混合阀720可以用于控制在气体盘(gaspanel)上的将与其他处理气体混合的处理气体的量。
气体棒的每个组件优选地定位在陶瓷混合歧管之上。多个歧管组块可形成混合歧管722,或者优选地混合歧管722是通过气体棒700生成气体流动路径的整体式陶瓷混合歧管722。另外的气体传送***组件可以通过诸如任何已知的方式定位在歧管组块上,例如使用压力接头密封件(例如,C-密封件)和类似元件定位在歧管组块上。
为了制造整体式陶瓷组件,首先准备陶瓷材料生坯。优选地,该陶瓷材料生坯由包含至少一种陶瓷材料的浆料制成。在一实施例中,陶瓷材料生坯可以通过将陶瓷粉末、粘合剂以及液体的混合物挤压成生坯而制成。陶瓷材料生坯可以形成为任意气体传送***组件的形状。在W.D.Kingery、H.K.Bowen和D.R.Uhlmann的第二版的《陶瓷导论》(IntroductiontoCeramics)(J.Wiley&Sons,1976)中给出了陶瓷处理技术的细节。该说明内容以参考的形式被全文并入本文。从陶瓷材料生坯形成陶瓷组件的一个典型实施例可以在共同受让的美国专利6,780,787中找到,该专利以参考的形式被全文并入本文。形成氮化硅陶瓷组件的一个典型实施例可以在共同受让的美国专利8,622,021中找到,该专利以参考的形式被全文并入本文。
陶瓷材料生坯被形成为气体传送***的理想的整体式陶瓷组件的形状。然后烧制已成形的陶瓷材料生坯以形成气体传送***的整体式陶瓷组件,其中,该烧制优选地通过烧结该已成形的陶瓷材料生坯进行。该已成形的生坯优选地包括在其内形成的一个或者多个管道,从而在烧制生坯之后处理气体能够流经管道,形成整体式陶瓷组件,并且整体式陶瓷组件被组装在气体传送***中。一个或者多个管道可以通过在已成形的生坯中打孔而形成。优选地,一个或者多个管道是被布置在整体式陶瓷组件中的竖直的、对角的和/或水平的管。进一步,一个或者多个进口端口和一个或者多个出口端口形成在整体式陶瓷组件中。在一可选实施例中,陶瓷材料生坯通过将陶瓷材料生坯的单层从下往上层叠而形成气体传送***的理想的整体式陶瓷组件的形状,其中,每层被分别图案化以与理想的整体式陶瓷组件的层对应。在此情况下,陶瓷材料生坯的图案化了的层可以被布置成使已成形的陶瓷材料生坯与理想的整体式陶瓷组件的形状对应,包括可以是水平的、竖直的和/或对角的一个或者多个管道,其中该一个或者多个管道形成在整体式陶瓷组件内部的理想的管道路径,以使处理气体流过其中。优选地,一个或者多个进口端口和一个或者多个出口端口形成在陶瓷材料生坯中,从而使一个或者多个进口端口和出口端口与理想的整体式陶瓷组件的形状对应,其中,一个或者多个进口端口和一个或者多个出口端口与一个或者多个管道流体连通。进口端口优选地配置成接收流经其中的处理气体,并且出口端口优选地配置成使处理气体能够被供应到半导体衬底处理装置的下游组件。
在一可选实施例中,陶瓷材料生坯能够围绕含碳的预成型体或者聚合物预成型体形成,其中,预成型体被形成为与理想组件的内腔结构(即在整体式陶瓷组件内部的理想的管道路径)对应的形状。然后可以通过加热该预成型体以促进该预成型体从已成形的陶瓷材料生坯移除,从而将该预成型体从已成形的陶瓷材料生坯的内部移除。可选地,该预成型体可以在烧制已成形的陶瓷材料生坯期间或者之后移除。形成预成型体和从陶瓷组件内部移除该预成型体的示例性实施例可以在共同受让的美国专利申请序列No.13/1766,096中找到,该专利以参考的方式被全文并入本文。
在制造半导体衬底处理装置的气体传送***的整体式陶瓷组件的方法的一实施例中,可以形成陶瓷材料生坯。该陶瓷材料生坯优选地通过下述方式被逐层层叠形成:将陶瓷材料生坯的单层层叠,以形成与气体传送***的理想的整体式陶瓷组件的形状对应的陶瓷材料生坯。陶瓷材料生坯的每个层优选地被图案化,以使用于供应处理气体的一个或者多个管道(处理气体通过该一个或者多个管道)在每个层已成形之后被设置在已成形的陶瓷材料生坯中。优选地,陶瓷材料生坯的每个层在其被层叠成气体传送***的整体式陶瓷组件的理想形状时进行烧制,以形成气体传送***的整体式陶瓷组件。非临时计算机可读介质优选地包括用于执行制作整体式陶瓷组件的方法的程序指令。该程序指令优选地包括用于待层叠的陶瓷材料生坯的每个层的图案,由此形成整体式陶瓷组件的理想形状。在一实施例中,陶瓷材料生坯的每个层可以3D打印成整体式陶瓷材料组件的理想形状。在此实施例中,非临时计算机可读介质优选地包括程序指令,该程序指令包括用于待层叠的陶瓷材料生坯的每个层的图案以及用于烧制陶瓷材料生坯的配方,以形成整体式陶瓷组件。优选地,已成形的陶瓷材料生坯的烧制是通过在每层层叠时烧结已成形的陶瓷材料生坯而实现的。然后,将整体式陶瓷组件优选地安装在半导体衬底处理装置的气体传送***中。
优选地,整体式陶瓷组件为气体棒组件、歧管、气体托盘(gaspallet)或者气体传送***的流量适配器组块。流量适配器组块的一个典型实施例可以在共同受让的美国专利8322380中找到,该专利以参考的方式被全文并入本文。流量适配器组块可以优选地在其内包括一个或者多个水平的或者竖直的管道,该管道与其一个或者多个进口端口或者出口端口流体连通。气体棒和混合歧管的一个典型实施例可以在共同受让的美国专利申请No.2010/0326554中找到,该专利以参考的方式被全文并入本文。混合歧管可以优选地包括在其内的一个或者多个水平的或者竖直的管道,该管道与其一个或者多个进口端口或者出口端口流体连通。例如,整体式陶瓷混合歧管可以被形成以支撑气体棒的气体传送组件。优选地,气体棒的每个气体传送组件支撑在支撑件(即气体托盘)的上表面,该支撑件包括进口端口,用于接收相应的气体传送组件,其中,该进口端口与在其下方配置的相互连接的管道路径流体连通。优选地,支撑件的相互连接的管道路径的竖直管道引导到支撑件的水平共用歧管路径。
半导体衬底可以在包括气体传送***的半导体衬底处理装置中进行处理,该气体传送***包括本文公开的整体式陶瓷组件。优选地,半导体衬底通过从气体传送***供应处理气体到处理装置的真空腔进行处理。处理气体被引入处理装置的真空腔的处理区域内。然后,利用处理气体对半导体衬底进行处理。优选地,处理半导体衬底的方法包括在半导体衬底上沉积导电材料或电介质材料。可选地,在一优选实施例中,处理半导体衬底的方法包括等离子体蚀刻在半导体衬底上的层,其中该层为金属、电介质或光致抗蚀剂。
虽然根据具体实施例对本发明的实施例进行了详细描述,但对于本领域技术人员而言,可作出各种改变和修改以及使用等同方式而不脱离所附权利要求的范围将是显而易见的。

Claims (20)

1.一种制作半导体衬底处理装置的气体传送***的整体式陶瓷组件的方法,所述气体传送***被配置成供应处理气体到布置在其下游的气体分配件,所述气体分配件被配置成供应所述处理气体到所述装置的真空腔的处理区域,其中,所述处理区域布置在待处理的半导体衬底的上表面之上,制作所述整体式陶瓷组件的所述方法包括:
准备陶瓷材料生坯;
使所述陶瓷材料生坯成形为所述气体传送***的理想的整体式陶瓷组件的形状;以及
烧制已成形的所述陶瓷材料生坯以形成所述气体传送***的所述整体式陶瓷组件。
2.根据权利要求1所述的方法,其中,已成形的所述陶瓷材料生坯的烧制是通过烧结已成形的所述生坯来实现的。
3.根据权利要求1所述的方法,其中,一个或者多个竖直的、对角的和/或水平的管道形成在已成形的所述生坯中,并且一个或者多个进口端口和一个或者多个出口端口形成在已成形的所述生坯中。
4.根据权利要求1所述的方法,其中,
(a)所述陶瓷材料生坯通过层叠所述陶瓷材料生坯的单层而形成为所述气体传送***的理想的整体式陶瓷组件的形状,所述单层被各自图案化以与理想的整体式陶瓷组件的层对应;或者
(b)所述陶瓷材料生坯围绕预成型体形成,其中所述预成型体被形成为与理想的整体式陶瓷组件的内腔结构对应的形状,所述方法进一步包括:通过加热以因此促进从已成形的所述陶瓷材料生坯移除所述预成型体,从而使所述预成型体从已成形的所述陶瓷材料生坯的内部移除。
5.根据权利要求1所述的方法,其中,所述陶瓷材料生坯由包括至少一种陶瓷材料粉末和粘合剂的浆料制成。
6.根据权利要求1所述的方法,其中,所述陶瓷材料选自由氧化物、氮化物、硼化物、氟化物和碳化物构成的组;或者选自由硅、碳化硅(SiC)、氧化硅、氮化硅、碳化硼、氮化铝、氧化钛、矾土、氧化锆、氧化铍和氧化铈构成的组。
7.一种制作半导体衬底处理装置的气体传送***的整体式陶瓷组件的方法,所述气体传送***被配置成供应处理气体到布置在其下游的气体分配件,所述气体分配件被配置成供应所述处理气体到所述装置的真空腔的处理区域,其中,所述处理区域布置在待处理的半导体衬底的上表面之上,制作所述整体式陶瓷组件的所述方法包括:
准备多个陶瓷材料生坯层;
逐层层叠所述陶瓷材料生坯以形成与所述气体传送***的理想的整体式陶瓷组件的形状对应的陶瓷材料生坯,其中,所述陶瓷材料生坯的每个层被图案化,以使一个或者多个竖直的、对角的和/或水平的管道形成在已成形的所述陶瓷材料生坯中,以用于供应通过其中的处理气体,并且一个或者多个进口端口和一个或者多个出口端口形成在已成形的所述陶瓷材料生坯中;以及
烧制所述陶瓷材料生坯以形成所述气体传送***的所述整体式陶瓷组件。
8.根据权利要求7所述的方法,其中,已成形的所述陶瓷材料生坯的烧制是通过在层叠每个层时烧结已成形的所述陶瓷材料生坯来实现的。
9.根据权利要求7所述的方法,其中,所述陶瓷材料生坯由包括至少一种陶瓷材料粉末和粘合剂的浆料制成。
10.根据权利要求7所述的方法,其中,所述陶瓷材料是选自由氧化物、氮化物、硼化物、氟化物和碳化物构成的组;或者选自由硅、碳化硅(SiC)、氧化硅、氮化硅、碳化硼、氮化铝、氧化钛、矾土、氧化锆、氧化铍和氧化铈构成的组。
11.一种非临时性计算机可读介质,其包括用于执行权利要求7所述方法的程序指令。
12.一种气体传送***的整体式陶瓷组件,其通过权利要求1所述的方法形成。
13.根据权利要求12所述的整体式陶瓷组件,其中,所述组件为所述气体传送***的歧管、气体托盘或者适配器组块。
14.一种气体传送***,其包括权利要求12所述的整体式陶瓷组件。
15.一种气体传送***的整体式陶瓷组件,其通过权利要求7所述的方法形成。
16.根据权利要求15所述的整体式陶瓷组件,其中,所述组件为所述气体传送***的歧管、气体托盘或者适配器模块。
17.一种气体传送***,包括权利要求15所述的整体式陶瓷组件。
18.一种在包括权利要求7所述的气体传送***的半导体衬底处理装置中处理半导体衬底的方法,所述方法包括:
从所述气体传送***供应处理气体到所述处理装置的真空腔;
将所述处理气体导入所述处理装置的所述真空腔的处理区域;以及
使用所述处理气体处理所述半导体衬底。
19.根据权利要求18所述的方法,其中,所述处理包括在所述半导体衬底上沉积导电材料或电介质材料。
20.根据权利要求18所述的方法,其中,所述处理包括等离子体蚀刻在所述半导体衬底上的层,其中,所述层为金属、电介质或者光致抗蚀剂。
CN201510161585.XA 2014-04-07 2015-04-07 气体传送***的整体式陶瓷组件及其制造和使用方法 Pending CN105185725A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461976295P 2014-04-07 2014-04-07
US61/976,295 2014-04-07

Publications (1)

Publication Number Publication Date
CN105185725A true CN105185725A (zh) 2015-12-23

Family

ID=54210364

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510161585.XA Pending CN105185725A (zh) 2014-04-07 2015-04-07 气体传送***的整体式陶瓷组件及其制造和使用方法

Country Status (4)

Country Link
US (1) US9580360B2 (zh)
KR (1) KR102376011B1 (zh)
CN (1) CN105185725A (zh)
TW (1) TWI659446B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
EP2792985B1 (de) 2013-04-18 2014-11-26 Amann Girrbach AG Sintervorrichtung
EP2792332B1 (de) * 2013-04-18 2015-03-11 Amann Girrbach AG Anordnung mit zumindest einem zu sinternden Werkstück
DE102014212176A1 (de) * 2014-06-25 2015-12-31 Siemens Aktiengesellschaft Pulverbettbasiertes additives Fertigungsverfahren und Anlage zur Durchführung dieses Verfahrens
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6264622B2 (ja) * 2016-04-18 2018-01-24 株式会社ソディック 積層造形装置
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN108752020A (zh) * 2018-05-18 2018-11-06 宜兴市东山新型材料有限公司 一种高温钢材耐火涂层及其制备方法、用途
US20210098230A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular high-frequency plasma source

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6527890B1 (en) * 1998-10-09 2003-03-04 Motorola, Inc. Multilayered ceramic micro-gas chromatograph and method for making the same
US6596224B1 (en) * 1996-05-24 2003-07-22 Massachusetts Institute Of Technology Jetting layers of powder and the formation of fine powder beds thereby
CN1489779A (zh) * 2000-12-29 2004-04-14 ��ķ�о����޹�˾ 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
CN101117284A (zh) * 2007-07-13 2008-02-06 北京中材人工晶体有限公司 一种氧化铝陶瓷及其制备方法
US20090032499A1 (en) * 2005-05-17 2009-02-05 Yeda Research And Development Company Ltd. Low Friction Coatings for Use in Dental and Medical Devices
CN101635285A (zh) * 2008-07-24 2010-01-27 达方电子股份有限公司 具有散热功能的陶瓷基板结构及其制造方法
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050090409A (ko) * 2002-12-20 2005-09-13 어플라이드 머티어리얼스, 인코포레이티드 미세-가공되고 일체화된 유체 전달 시스템
US7234222B1 (en) 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
US7822570B2 (en) 2006-11-17 2010-10-26 Lam Research Corporation Methods for performing actual flow verification
US8278013B2 (en) * 2007-05-10 2012-10-02 Alan Devoe Fuel cell device and system
US7806143B2 (en) 2007-06-11 2010-10-05 Lam Research Corporation Flexible manifold for integrated gas system gas panels
US8322380B2 (en) 2007-10-12 2012-12-04 Lam Research Corporation Universal fluid flow adaptor
WO2009085866A2 (en) 2007-12-27 2009-07-09 Lam Research Corporation Gas transport delay resolution for short etch recipes
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8340827B2 (en) 2008-06-20 2012-12-25 Lam Research Corporation Methods for controlling time scale of gas delivery into a processing chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596224B1 (en) * 1996-05-24 2003-07-22 Massachusetts Institute Of Technology Jetting layers of powder and the formation of fine powder beds thereby
US6527890B1 (en) * 1998-10-09 2003-03-04 Motorola, Inc. Multilayered ceramic micro-gas chromatograph and method for making the same
CN1489779A (zh) * 2000-12-29 2004-04-14 ��ķ�о����޹�˾ 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US20090032499A1 (en) * 2005-05-17 2009-02-05 Yeda Research And Development Company Ltd. Low Friction Coatings for Use in Dental and Medical Devices
CN101117284A (zh) * 2007-07-13 2008-02-06 北京中材人工晶体有限公司 一种氧化铝陶瓷及其制备方法
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
CN101635285A (zh) * 2008-07-24 2010-01-27 达方电子股份有限公司 具有散热功能的陶瓷基板结构及其制造方法

Also Published As

Publication number Publication date
US20150287572A1 (en) 2015-10-08
US9580360B2 (en) 2017-02-28
KR102376011B1 (ko) 2022-03-17
TWI659446B (zh) 2019-05-11
KR20150116419A (ko) 2015-10-15
TW201604921A (zh) 2016-02-01

Similar Documents

Publication Publication Date Title
CN105185725A (zh) 气体传送***的整体式陶瓷组件及其制造和使用方法
CN207637743U (zh) 半导体处理腔室和等离子体处理腔室
US11130142B2 (en) Showerhead having a detachable gas distribution plate
CN208954934U (zh) 半导体处理***
CN107148661B (zh) 包括用于可调气流控制的气体分流器的气体供应输送装置
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
CN105428194B (zh) 抑制寄生等离子体和减少晶片内非均匀性的***和方法
CN110168714A (zh) 改进工艺均匀性的衬底支撑件
US7220497B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
CN110337714A (zh) 用以减少电弧的氦气插塞设计
CN107403747A (zh) 用于静电卡盘粘合剂的永久性二次侵蚀约束
CN110383454A (zh) 底部边缘环和中部边缘环
US20120097330A1 (en) Dual delivery chamber design
CN105632914A (zh) 在半导体衬底处理装置中均匀处理半导体衬底的注气法
CN102414799A (zh) 气体分配喷头及清洁方法
CN105603390B (zh) 具有主动冷却型格栅的气体分配装置
CN101338413A (zh) 用于cvd腔室清洗的远程诱导耦接的等离子体源
CN107393797A (zh) 包括具有高纯sp3键的cvd金刚石涂层的边缘环的部件
CN106356285B (zh) 经化学品受控分离和输送实现低缺陷处理的***和方法
CN103903946A (zh) 一种用于等离子反应器的气体喷淋头
CN108292588A (zh) 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
CN110352481A (zh) 无螺栓衬底支撑件组件
US20220372619A1 (en) Manifold valve for controlling multiple gases
TW201527587A (zh) 半導體系統組合件及操作方法
WO2009142911A2 (en) Robust outlet plumbing for high power flow remote plasma source

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20151223

RJ01 Rejection of invention patent application after publication