CN104298150B - 一种基于fpga专用逻辑资源的tdc实现方法及其装置 - Google Patents

一种基于fpga专用逻辑资源的tdc实现方法及其装置 Download PDF

Info

Publication number
CN104298150B
CN104298150B CN201410492580.0A CN201410492580A CN104298150B CN 104298150 B CN104298150 B CN 104298150B CN 201410492580 A CN201410492580 A CN 201410492580A CN 104298150 B CN104298150 B CN 104298150B
Authority
CN
China
Prior art keywords
logic unit
basic logic
tdc
fpga
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410492580.0A
Other languages
English (en)
Other versions
CN104298150A (zh
Inventor
王毅
孙德晖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JIANGSU SINOGRAM MEDICAL TECHNOLOGY Co Ltd
Original Assignee
JIANGSU SINOGRAM MEDICAL TECHNOLOGY Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JIANGSU SINOGRAM MEDICAL TECHNOLOGY Co Ltd filed Critical JIANGSU SINOGRAM MEDICAL TECHNOLOGY Co Ltd
Priority to CN201410492580.0A priority Critical patent/CN104298150B/zh
Publication of CN104298150A publication Critical patent/CN104298150A/zh
Application granted granted Critical
Publication of CN104298150B publication Critical patent/CN104298150B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/042Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种基于FPGA专用逻辑资源的TDC实现方法及其装置,涉及高能物理学研究、核医学成像、遥感成像、激光或超声波测距等技术领域,具体涉及物理信号事件发生时刻测量的实现方法。输入的信号经过FPGA的逻辑单元转化为正负两种逻辑信号,其中正逻辑信号直接由第一比特位串并转换用基本逻辑单元输入到后续处理基本逻辑单元;负逻辑信号输入到,I/O延迟用基本逻辑单元,在I/O延迟自动校准用基本逻辑单元控制下,转化的信号经第二比特位串并转换用基本逻辑单元输入到后续处理基本逻辑单元,形成时间戳。本发明可以极大地提高在FPGA中实现TDC的设计效率。

Description

一种基于FPGA专用逻辑资源的TDC实现方法及其装置
技术领域
本发明涉及高能物理学研究、核医学成像、遥感成像、激光或超声波测距等技术领域,具体涉及物理信号事件发生时刻测量的实现方法。
背景技术
高精度时间测量在高能粒子物理研究、定位与测距、航天遥感成像、核医学成像乃至物质成分检测等领域均有着广泛的应用。在日常生活中,时间的概念精确到毫秒就已经完全满足需求。但对于上述需要高精度时间测量的领域,时间测量作为一种重要的测量参数甚至是探测手段,其精度要求己经达到亚纳秒至皮秒量级。
时间测量实现的主要途径则是TDC(Time to Digital Conversion,时间-数字变换器)技术。绝对的时间信息对实际测量***而言一般没有意义,TDC技术将一对物理事件的发生时刻(如γ光子“飞行”一段路径的起点时刻和终点时刻、光波或声波的发射时刻与反射接收时刻)的时间间隔量化为数字信号,用以准确度量两个事件的发生时刻的时间间隔。
TDC技术依靠电子学电路来实现,实现方式有模拟、数字和数模混合等几种。基于模拟技术的TDC电路由于易受外界噪声、温度和电压波动的干扰,限制了其发展和大规模应用。因此,目前TDC技术的发展方向是以CMOS工艺数字电路为基础的数字型高精度TDC。
当需要在实际***中使用数字TDC电路时,有两种基本的途径:使用商品化的通用ASIC芯片,或者利用FPGA(现场可编程门阵列)自己开发定制。
采用通用TDC芯片的优势是:作为工业化生产的ASIC产品,TDC芯片集成度高、功能完善、产品质量有保证、使用者不需要了解TDC的内部实现细节。但另一方面,商品化TDC芯片使用时存在如下问题:单片无法满足同时需要多通道TDC(如8通道以上)的应用;控制接口复杂,需要配合FPGA逻辑才能实现芯片的配置和测量结果的读出;非即时型读出,难以实现特殊事件的筛选或标记(例如抛弃小于一定脉宽的脉冲);以上问题导致较高的综合应用成本。
与之相比,利用FPGA定制开发数字TDC可以有效解决上述问题:能够在单颗芯片上同时完成时间测量、控制与读出逻辑、测量结果的后续处理(如特殊事件的筛选)、远程数据传输等全部功能。由于通用可编程逻辑的应用市场及芯片出货量较之TDC大得多,因此FPGA芯片具有极高的性价比。当然,利用FPGA定制开发数字TDC在实现中也存在一些问题,例如如何克服供电电压和环境温度波动的影响,如何保证多通道TDC的工作一致性等。
现有技术中以FPGA实现TDC主要有两种方法:基于多相位时钟采样的方法和基于FPGA内部延迟链的方法。
基于FPGA内部延迟链结构的TDC实现结构复杂,但TDC可以达到数十皮秒的精度。该实现方式需要将众多FPGA内部延迟单元串联在一起构成一个延迟链来使用。由于该延迟链结构很长,需要跨越多个FPGA“逻辑分区”,因此不同分区的延迟单元之间的连接线的延迟时间会因FPGA布局布线结构而各不相同;同时,延迟单元及延迟单元间连接线的延迟时间会敏感地随着FPGA供电电压、环境温度等因素的波动而发生变化。上述问题使得在实现基于内部延迟链结构的TDC时,需要额外设计复杂的“校准”结构以减小链上延迟不均匀所造成的微分非线性(DNL)、并补偿TDC随供电电压和环境温度带来的敏感变化。
额外的校准结构虽然带给基于延迟链结构的TDC以较高的测量精度,但同时导致如下问题:实现结构复杂、消耗较多的FPGA资源、高功耗等。特别是当需要实现多个TDC通道时,该实现方式的校准原理决定了对每一个TDC通道都需要独立增加校正结构。此外,由于对延迟单元的延迟时间有一定的范围限制,基于延迟链结构的TDC在多种新一代高性能FPGA上反而难以很好的实现。
基于多相位时钟采样是另一种基于FPGA的TDC实现方法。与基于延迟链结构的TDC相比,该方法的实现结构简单、FPGA资源占用低、具有较低的供电电压和环境温度敏感性、且功耗较低。该方法的主要缺点首先是TDC精度受到FPGA最高工作时钟频率的限制;另一个问题是需要用手工布局布线的方式来严格约束关键结构路径,以使得各个相位的信号传输延迟一致,从而减少微分非线性。
由图1的目前普遍采用的基于多相位时钟采样的TDC实现结构示意图可见:输入信号由四个由同源但相位各相差90度的时钟驱动的D触发器采样(即图1中的多相位采样)。然后经过时钟域转换电路,将不同时钟域的信号统一到相位偏移为0的时钟域上,最后经过信号侦测和译码,将输出1 输出2 输出3 输出4的输出结果,翻译为2位的时间戳。
在图1所示的结构为单通道TDC电路的实现结构。因此,对每一个TDC通道,设计时需要分别对输入缓冲器、多相位采样寄存器、时钟域变换寄存器等三种结构,共计16个寄存器单元及其间的所有连接线进行关键路径控制,亦即需要手工对上述每个逻辑单元和连接走线等结构进行布局、布线的调整。该手动调整过程繁冗、易出错、且可能需要多次迭代优化,特别是当需要在单片FPGA中设计多个TDC通道时,这种方式设计效率低,且多个TDC通道的路径延迟一致性难以保证。
发明内容
本发明提出的基于FPGA专用逻辑资源的TDC实现方法可以很好地解决以上现有技术问题,可以广泛应用于高能物理学研究、核医学成像、遥感成像、激光或超声波测距等领域的信号事件发生时刻的测量。
本发明基于FPGA专用逻辑资源的TDC实现方法是:输入的信号经过FPGA的逻辑单元转化为正负两种逻辑信号,其中正逻辑信号直接由第一比特位串并转换用基本逻辑单元输入到后续处理基本逻辑单元;负逻辑信号输入到,I/O延迟用基本逻辑单元,在I/O延迟自动校准用基本逻辑单元控制下,转化的信号经第二比特位串并转换用基本逻辑单元输入到后续处理基本逻辑单元,形成时间戳。
本发明可以极大地提高在FPGA中实现TDC的设计效率,所实现的TDC具有以下突出优点:
1、构造简单,FPGA逻辑资源占用少;
2、无需手工布局布线,实现效率高;
3、多相位时钟采样路径的一致性好,有效提供TDC的微分非线性特性;
4、测量精度高,以500MHz的工作频率可以实现250皮秒的测量精度;
5、可以单片FPGA高效实现数百个通道的测量性能一致性良好的TDC阵列。
本发明以多相位时钟采样方法为基础,利用FPGA内部的一种专用逻辑单元替代多相位时钟采样结构中的关键路径区域的功能,并采用多相位时钟采样结构与FPGA IO专用延迟单元相结合的新方法,可将现有的多相位时钟采样TDC的测时精度提高一倍。
另外,本发明还提出实现权利要求1方法的TDC成像装置。
本发明包括第一比特位串并转换用基本逻辑单元、第二比特位串并转换用基本逻辑单元、I/O延迟用基本逻辑单元、I/O延迟自动校准用基本逻辑单元和后续处理基本逻辑单元;第一比特位串并转换用基本逻辑单元和I/O延迟用基本逻辑单元分别连接在信号输入端上;第一比特位串并转换用基本逻辑单元的输出端连接在后续处理基本逻辑单元的一个输入端;I/O延迟用基本逻辑单元的输出端连接在第二比特位串并转换用基本逻辑单元的输入端,第二比特位串并转换用基本逻辑单元的输出端连接在后续处理基本逻辑单元的另一个输入端;I/O延迟自动校准用基本逻辑单元的输出端连接在I/O延迟用基本逻辑单元的控制端;后续处理基本逻辑单元设有时间戳输出端。
本发明结构简单、合理,其特点是:
1.利用FPGA专用“基本逻辑单元”进行替代。
本发明利用了FPGA内部的一个基本逻辑单元来完整替代目前的多相位时钟采样结构中的关键路径区域。该逻辑单元是一种FPGA专用逻辑资源,由FPGA生产厂家作为“基本逻辑单元”提供给用户。尽管该逻辑单元内部也是由一组寄存器阵列所构成,但作为一种“基本逻辑单元”,该单元内部寄存器阵列及其连接走线都是经由FPGA生产厂家以最优方式“固化”在FPGA的Die(晶片)的固定位置上,因此无需用户任何人工调整即可达到最佳的时序性能。
FPGA生产厂家提供该基本逻辑单元的目的是用于实现串行高速数据通信中的比特位串并转换功能,但由于其与实现TDC所使用的多相位时钟采样有近似的结构,因此通过对该基本逻辑单元的正确配置即可替代目前的多相位时钟采样实现方式。
使用这种替换方法可以极大地简化基于多相位时钟采样的TDC的设计过程、并大量降低TDC的逻辑资源。同时,由于基本逻辑单元都是FPGA生产厂家根据自己的FPGA生产工艺线进行的最全面的优化,因此通过这种方式实现的TDC却具有较之手工布局布线更好的微分非线性特性。
2.多相位时钟采样与延迟单元向结合。
由于多相位时钟跨时域处理复杂性的限制,现有的多相位时钟采样结构都是采用4相位时钟采样结构。因此,如果FPGA的最高工作时钟频率为500MHz,那么TDC的精度为1/(500MHz×4),即500皮秒。
本发明中将输入信号同时扇出给两个电路,上面部分的电路是使用“基本逻辑单元”替代后的4相位时钟采样结构,而下面部分的电路则是对输入信号进行一次1/8相位延迟后再进入一个4相位时钟采样结构中。通过这种双4相位时钟采样与延迟单元相结合的方式,将两个4相位时钟采样电路的结果进行综合处理,可以实现8相位采样,即在相同的工作时钟频率下可以将TDC的精度提高一倍。还以上述假设为例,对于最高工作时钟频率为500MHz的FPGA,依本专利设计得到的TDC的精度为1/(500MHz×8),即250皮秒。
与“基于FPGA内部延迟链结构的TDC”所使用的FPGA内部延迟单元不同,本专利设计中所采样的延迟单元是一种紧邻FPGA I/O管脚位置的“基本逻辑单元”。该基本逻辑单元专门用于对外部输入信号进行可控延迟,其最大优点是其可以借助于另外一个专用的基本逻辑单元实现连续自动校准功能。因此,该延迟单元无需额外设计复杂的校准电路即可保持所设定的延迟时间恒定,不会随工作电压、环境温度变化而波动。
据此,将这种延迟单元与多相位时钟采样结构向结合,可以稳定地实现2倍于传统4相位时钟采样结构测时精度的新型TDC结构。
3.非常适于多通道TDC实现。
在本发明所提出的TDC实施方案中,TDC结构的所有关键路径上均利用FPGA生产厂商提供的“基本逻辑单元”来构成,而基本逻辑单元的功能、性能一致性是FPGA生产厂家需要确保的FPGA的最基本的特性。因此,本发明实施方案非常适于在单片FPGA中构造多通道TDC。由于该方案对FPGA的逻辑资源的占用非常低,一致性又非常好,可以快速的在单片FPGA中实现具有一致测时特性的数十乃至数百个独立的TDC通道。
附图说明
图1为现有技术的基于多相位时钟采样的TDC实现结构示意图。
图2为本发明的整体结构示意图。
具体实施方式
一、结构特点:
本发明设有第一比特位串并转换用基本逻辑单元1、第二比特位串并转换用基本逻辑单元2、I/O延迟用基本逻辑单元3、I/O延迟自动校准用基本逻辑单元4和后续处理基本逻辑单元5。
第一比特位串并转换用基本逻辑单元1和I/O延迟用基本逻辑单元3分别连接在信号输入端6上。
第一比特位串并转换用基本逻辑单元1的输出端直接连接在后续处理基本逻辑单元5的一个输入端。
I/O延迟用基本逻辑单元3的输出端连接在第二比特位串并转换用基本逻辑单元2的输入端,第二比特位串并转换用基本逻辑单元2的输出端连接在后续处理基本逻辑单元5的另一个输入端。I/O延迟自动校准用基本逻辑单元4的输出端连接在I/O延迟用基本逻辑单元3的控制端。
后续处理基本逻辑单元5设有时间戳输出端。
二、方法:
输入的信号经过FPGA的逻辑单元转化为正负两种逻辑信号,其中正逻辑信号直接由第一比特位串并转换用基本逻辑单元1输入到后续处理基本逻辑单元5;负逻辑信号输入到I/O延迟用基本逻辑单元3,在I/O延迟自动校准用基本逻辑单元4的控制下,转化的信号经第二比特位串并转换用基本逻辑单元2输入到后续处理基本逻辑单元5,形成时间戳。

Claims (2)

1.一种基于FPGA专用逻辑资源的TDC实现方法,其特征在于:输入的信号经过FPGA的逻辑单元转化为正负两种逻辑信号,其中正逻辑信号直接由第一比特位串并转换用基本逻辑单元输入到后续处理基本逻辑单元;负逻辑信号输入到I/O延迟用基本逻辑单元,在I/O延迟自动校准用基本逻辑单元控制下,转化的信号经第二比特位串并转换用基本逻辑单元输入到后续处理基本逻辑单元,形成时间戳。
2.一种实现权利要求1方法的TDC成像装置,其特征在于包括第一比特位串并转换用基本逻辑单元、第二比特位串并转换用基本逻辑单元、I/O延迟用基本逻辑单元、I/O延迟自动校准用基本逻辑单元和后续处理基本逻辑单元;
第一比特位串并转换用基本逻辑单元和I/O延迟用基本逻辑单元分别连接在信号输入端上;第一比特位串并转换用基本逻辑单元的输出端连接在后续处理基本逻辑单元的一个输入端;I/O延迟用基本逻辑单元的输出端连接在第二比特位串并转换用基本逻辑单元的输入端,第二比特位串并转换用基本逻辑单元的输出端连接在后续处理基本逻辑单元的另一个输入端;I/O延迟自动校准用基本逻辑单元的输出端连接在I/O延迟用基本逻辑单元的控制端;后续处理基本逻辑单元设有时间戳输出端。
CN201410492580.0A 2014-09-24 2014-09-24 一种基于fpga专用逻辑资源的tdc实现方法及其装置 Active CN104298150B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410492580.0A CN104298150B (zh) 2014-09-24 2014-09-24 一种基于fpga专用逻辑资源的tdc实现方法及其装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410492580.0A CN104298150B (zh) 2014-09-24 2014-09-24 一种基于fpga专用逻辑资源的tdc实现方法及其装置

Publications (2)

Publication Number Publication Date
CN104298150A CN104298150A (zh) 2015-01-21
CN104298150B true CN104298150B (zh) 2017-10-17

Family

ID=52317929

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410492580.0A Active CN104298150B (zh) 2014-09-24 2014-09-24 一种基于fpga专用逻辑资源的tdc实现方法及其装置

Country Status (1)

Country Link
CN (1) CN104298150B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105842610A (zh) * 2016-03-31 2016-08-10 复旦大学 基于tdc的fpga电路传输延迟测试***和方法
CN110035244B (zh) * 2019-04-19 2021-03-30 中国科学院长春光学精密机械与物理研究所 多通道低频cmos串行图像数据的训练方法
CN111913422B (zh) * 2020-08-11 2021-09-24 明峰医疗***股份有限公司 基于iserdes串接链的分相时钟tdc及测量方法
CN112486008B (zh) * 2020-12-11 2021-12-07 上海交通大学 基于tdc的分辨率可调时间测量统计***及方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101013304A (zh) * 2006-12-14 2007-08-08 冶金自动化研究设计院 一种高精度时间间隔测量pci卡
CN102620755A (zh) * 2011-10-14 2012-08-01 南京航空航天大学 基于tdc计时器的高精度位置信号检测方法和装置
US8786474B1 (en) * 2013-03-15 2014-07-22 Kabushiki Kaisha Toshiba Apparatus for programmable metastable ring oscillator period for multiple-hit delay-chain based time-to-digital circuits
CN103186097B (zh) * 2013-03-27 2015-06-17 西安电子科技大学 基于fpga的高分辨率时间间隔测量装置

Also Published As

Publication number Publication date
CN104298150A (zh) 2015-01-21

Similar Documents

Publication Publication Date Title
CN104298150B (zh) 一种基于fpga专用逻辑资源的tdc实现方法及其装置
CN106253902B (zh) 具有多器件同步复位识别校正功能的多通道并行采集***
Zhang et al. A 7.4 ps FPGA-based TDC with a 1024-unit measurement matrix
CN107819456B (zh) 一种基于fpga进位链的高精度延时产生器
Zheng et al. Low-cost FPGA TDC with high resolution and density
CN105656456B (zh) 一种高速高精度数字脉冲发生电路及脉冲发生方法
CN103698770A (zh) 基于fpga芯片的多通道激光回波时间测量***
CN103186097B (zh) 基于fpga的高分辨率时间间隔测量装置
CN102928677A (zh) 一种纳米级脉冲信号采集方法
Wang et al. A 256-channel multi-phase clock sampling-based time-to-digital converter implemented in a Kintex-7 FPGA
CN105675981A (zh) 一种基于fpga的频率计及频率测量方法
CN110955179B (zh) 一种基于pci总线的双通道共享时钟触发调延装置
CN110703583A (zh) 基于soc的多通道高精度大量程时间数字转换器
CN102175337B (zh) 温度传感器
CN104639159B (zh) 一种超低功耗且无亚稳态的频率数字转换器
CN201540331U (zh) 多通道高精度同步测频装置
US9594353B2 (en) Device and method for determining timing of a measured signal
CN108736885B (zh) 锁相环时钟边沿触发的时钟分相法
CN102254062A (zh) 标准单元时序数据测试方法
CN204129472U (zh) 一种基于fpga专用逻辑资源实现tdc的装置
CN207281290U (zh) 一种时间监测装置
CN102914699B (zh) 调制域测量***及其方法
CN104348468A (zh) 一种脉宽自适应单总线接收器
Song et al. A high-precision synchronous sampling approach for large-scale distributed wire sensor networks in seismic data acquisition systems
CN108061611B (zh) 一种利用fpga嵌入式环形振荡器测量温度分布的装置和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant