CN104253046B - 鳍式场效应晶体管及其形成方法 - Google Patents

鳍式场效应晶体管及其形成方法 Download PDF

Info

Publication number
CN104253046B
CN104253046B CN201310259904.1A CN201310259904A CN104253046B CN 104253046 B CN104253046 B CN 104253046B CN 201310259904 A CN201310259904 A CN 201310259904A CN 104253046 B CN104253046 B CN 104253046B
Authority
CN
China
Prior art keywords
doped layer
fin
doping
field effect
formula field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310259904.1A
Other languages
English (en)
Other versions
CN104253046A (zh
Inventor
三重野文健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201310259904.1A priority Critical patent/CN104253046B/zh
Priority to US14/078,655 priority patent/US8883585B1/en
Publication of CN104253046A publication Critical patent/CN104253046A/zh
Application granted granted Critical
Publication of CN104253046B publication Critical patent/CN104253046B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种鳍式场效应晶体管及其形成方法,所述鳍式场效应晶体管包括:半导体衬底,所述半导体衬底上形成有分立的第一鳍部和第二鳍部;覆盖所述第一鳍部、第二鳍部和半导体衬底表面的第一掺杂层;位于半导体衬底的隔离层,所述隔离层的表面低于第一鳍部和第二鳍部顶端上的第一掺杂层表面;横跨所述第一鳍部的侧壁和顶端表面的栅极结构;位于隔离层上的介质层,所述介质层覆盖所述栅极结构和第二鳍部;位于介质层中的通孔,所述通孔暴露出第二鳍部顶端上的第一掺杂层;填充所述通孔的导电插塞,所述导电插塞用于连接偏置控制电压。通过导电插塞和第一掺杂层能方便的实现对阈值电压的调节。

Description

鳍式场效应晶体管及其形成方法
技术领域
本发明涉及半导体制造领域,特别涉及一种鳍式场效应晶体管及其形成方法。
背景技术
随着半导体工艺技术的不断发展,工艺节点逐渐减小,后栅(gate-last)工艺得到了广泛应用,来获得理想的阈值电压,改善器件性能。但是当器件的特征尺寸(CD,CriticalDimension)进一步下降时,即使采用后栅工艺,常规的MOS场效应管的结构也已经无法满足对器件性能的需求,鳍式场效应晶体管(Fin FET)作为常规器件的替代得到了广泛的关注。
图1示出了现有技术的一种鳍式场效应晶体管的结构示意图。如图1所示,包括:半导体衬底10,所述半导体衬底10上形成有凸出的鳍部14,鳍部14一般是通过对半导体衬底10刻蚀后得到的;隔离层11,覆盖所述半导体衬底10的表面以及鳍部14的侧壁的一部分;栅极结构12,横跨在所述鳍部14上,覆盖所述鳍部14的顶端和侧壁,栅极结构12包括栅介质层13和位于栅介质层上的栅电极15。
现有的鳍式场效应晶体管在使用时,通常会在半导体衬底10上连接偏置控制电压,以对鳍式场效应晶体管的阈值电压进行调节,但是现有的调节方式对鳍式场效应晶体管的阈值电压的调节比较困难。
发明内容
本发明解决的问题是如何简便的实现对鳍式场效应晶体管的阈值电压的调节。
为解决上述问题,本发明技术方案提供了一种鳍式场效应晶体管的形成方法,包括:提供半导体衬底,所述半导体衬底上形成有分立的第一鳍部和第二鳍部;形成覆盖所述第一鳍部、第二鳍部和半导体衬底表面的第一掺杂层;在所述第一掺杂层上形成隔离层,所述隔离层的表面低于第一鳍部和第二鳍部顶端上的第一掺杂层表面;在第一掺杂层上形成横跨所述第一鳍部的侧壁和顶端的栅极结构;在所述隔离层上形成介质层,所述介质层覆盖所述栅极结构和第一掺杂层;在所述介质层中形成通孔,所述通孔暴露出第二鳍部顶端上的第一掺杂层;在所述通孔中形成导电插塞,所述导电插塞用于连接偏置控制电压。
可选的,所述第一掺杂层的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,第一掺杂层中掺杂的杂质离子的浓度大于第一鳍部中掺杂的杂质离子的浓度。
可选的,第一掺杂层的掺杂类型与第一鳍部的掺杂类型相同。
可选的,第一掺杂层的厚度为1~3纳米,所述第一掺杂层中掺杂的杂质离子的浓度为1E18~1E19atom/cm3。
可选的,所述第一掺杂层中掺杂的离子为硼离子、镓离子、铟离子、磷离子、砷离子或锑离子中的一种或几种。
可选的,在形成所述隔离层之前,在所述第一掺杂层表面形成第二掺杂层,所述第二掺杂层中掺杂离子的浓度小于第一掺杂层中掺杂离子的浓度。
可选的,所述第二掺杂层中掺杂离子的浓度为5E16~5E18atom/cm3
可选的,所述第二掺杂层的材料与第一掺杂层的材料相同,第二掺杂层的掺杂类型与第一掺杂层的掺杂类型相同。
可选的,所述第二掺杂层的厚度为0.5~3纳米。
可选的,在所述介质层中形成通孔,所述通孔暴露出第二鳍部顶端上的第二掺杂层;去除通孔内暴露的第二掺杂层,露出第一掺杂层。
可选的,在所述介质层中形成通孔,所述通孔暴露出第二鳍部顶端上的第二掺杂层;沿通孔对所述暴露的第二掺杂层进行离子注入,以增加第二掺杂层中的掺杂离子的浓度。
可选的,还包括:在通孔的底部形成金属硅化物。
可选的,形成栅极结构后,在栅极结构两侧的第一鳍部内形成源/漏区。
本发明技术方案还提供了一种鳍式场效应晶体管,包括:半导体衬底,所述半导体衬底上形成有分立的第一鳍部和第二鳍部;覆盖所述第一鳍部、第二鳍部和半导体衬底表面的第一掺杂层;位于第一掺杂层上的隔离层,所述隔离层的表面低于第一鳍部和第二鳍部顶端上的第一掺杂层表面;第一掺杂层上横跨所述第一鳍部的侧壁和顶端的栅极结构;位于隔离层上的介质层,所述介质层覆盖所述栅极结构和第一掺杂层上;位于介质层中的通孔,所述通孔暴露出第二鳍部顶端上的第一掺杂层;填充所述通孔的导电插塞,所述导电插塞用于连接偏置控制电压。
可选的,所述第一掺杂层的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,第一掺杂层中掺杂的杂质离子的浓度大于第一鳍部中掺杂的杂质离子的浓度。
可选的,第一掺杂层掺杂类型与第一鳍部的掺杂类型相同。
可选的,第一掺杂层的厚度为1~3纳米,所述第一掺杂层中掺杂的杂质离子的浓度为1E18~1E19atom/cm3
可选的,第一掺杂层表面还具有第二掺杂层,所述第二掺杂层中掺杂的杂质离子的浓度小于第一掺杂层中掺杂的杂质离子的浓度。
可选的,所述第二掺杂层中掺杂的杂质离子的浓度为5E16~5E18atom/cm3
可选的,所述第二掺杂层的材料与第一掺杂层的材料相同,第二掺杂层的掺杂类型与第一掺杂层的掺杂类型相同。
与现有技术相比,本发明的技术方案具有以下优点:
本发明的鳍式场效应晶体管的形成方法,形成用于调节阈值电压的第一掺杂层、第二鳍部和导电插塞等结构,工艺过程与鳍式场效应晶体管的制作工艺相兼容。
本发明的鳍式场效应晶体管,当鳍式场效应晶体管需要进行阈值电压的调节时,只需要将导电插塞连接偏置控制电压,偏置控制电压通过第一掺杂层传导至栅极结构下方的第一鳍部表面附近,传输的路径相对简短,并且传输路径的电阻较小,使得栅极结构下方的第一鳍部表面附近的电势相对于导电插塞上的电势削弱较小,对阈值电压的调节能力较强,并且对阈值电压的调节较为方便,另外,由于偏置控制电压的削弱较小,因此可以较低的偏置控制电压的实现阈值电压的调节,节省功耗。
进一步,第一掺杂层中杂质离子的掺杂浓度大于第一鳍部中杂质离子的掺杂浓度,所述第一掺杂层中掺杂的杂质离子的浓度为1E18~1E19atom/cm3,以减小第一掺杂层的导通电阻,第一掺杂层的厚度为1~3纳米,第一掺杂层的厚度较薄,使得后续形成的栅极结构对第一鳍部的控制能力不会被削弱或削弱较小。
进一步,所述第一掺杂层的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,因此第一掺杂层不仅可以作为偏置控制电压的传输路径,而且第一掺杂层中还可以形成鳍式场效应晶体管的部分导电沟道。
进一步,第一掺杂层表面还形成有第二掺杂层,第二掺杂层可以作为第一掺杂层和栅极结构之间的过渡层,所述第二掺杂层中掺杂离子的浓度小于第一掺杂层中掺杂离子的浓度,可以防止第一掺杂层和栅极结构之间产生漏电流,并且第二掺杂层的材料与第一掺杂层的材料相同,第二掺杂层的掺杂类型与第一掺杂层的掺杂类型相同,第二掺杂层中可以形成鳍式场效应晶体管的部分沟道,第一掺杂层和第二掺杂层的存在不会影响鳍式场效应晶体管的导通。
附图说明
图1为现有技术鳍式场效应晶体管的结构示意图;
图2~图10为本发明实施例鳍式场效应晶体管形成过程的剖面结构示意图。
具体实施方式
参考图1,现有技术对鳍式场效应晶体管的阈值电压进行调节时,通常是在半导体衬底10上连接偏置控制电压Vb,发明人发现,偏置控制电压Vb需要通过半导体衬底10和鳍部14才能传导到栅极结构12底部的鳍部14表面附近,使得偏置控制电压Vb的传导路径很长,传导路径上的电阻较大,因此,通过偏置控制电压Vb施加在栅极结构12底部的鳍部14表面的电势是很小的,不利于对鳍式场效应晶体管的阈值电压的调节,偏置控制电压Vb对阈值电压的调节能力较弱。而为了增强偏置控制电压Vb对阈值电压的调节能力,一种做法是增加半导体衬底10和鳍部14中杂质离子的掺杂浓度,但是这样会对鳍式场效应晶体管的电学性能有较大的影响,另外一种做法是增大偏置控制电压值,这样会带来较大的功耗。
为此,本发明提出一种鳍式场效应晶体管及其形成方法,借助第二鳍部和第一掺杂层能非常方便的实现对鳍式场效应晶体管阈值电压的调节。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例作详细的说明。在详述本发明实施例时,为便于说明,示意图会不依一般比例作局部放大,而且所述示意图只是示例,其在此不应限制本发明的保护范围。此外,在实际制作中应包含长度、宽度及深度的三维空间尺寸。
图2~图10为本发明实施例鳍式场效应晶体管形成过程的剖面结构示意图。
参考图2,提供半导体衬底200,所述半导体衬底200上形成有分立的第一鳍部201和第二鳍部202。
所述半导体衬底200可以是硅或者绝缘体上硅(SOI),所述半导体衬底200也可以是锗、锗硅、砷化镓或者绝缘体上锗,本实施中所述半导体衬底200的材料为硅。
所述第一鳍部201后续作为鳍式场效应晶体管的鳍部,第二鳍部202作为假鳍部(dummy Fin),第二鳍部202后续作为第一掺杂层的载体,第二鳍部202和第一鳍部201在同一工艺步骤中形成,第二鳍部202的存在,使得后续隔离层工艺和插塞工艺能与鳍式场效应晶体管的形成工艺相兼容。
本实施例中,第一鳍部201和第二鳍部202通过刻蚀半导体衬底200形成,在本发明的其他实施例中,所述第一鳍部201和第二鳍部202通过外延工艺形成。所述第一鳍部201中根据形成的鳍式场效应晶体管的类型不同掺杂有不同类型的杂质离子,第一鳍部202也可以掺杂或不掺杂杂质离子。当形成的鳍式场效应晶体管为P型鳍式场效应晶体管时,第一鳍部201中掺杂有N型杂质离子;当形成的鳍式场效应晶体管为N型鳍式场效应晶体管时,第一鳍部201中掺杂有P型杂质离子。
所述第一鳍部201的数量大于等于一个,本实施例中,以两个第一鳍部201作为示例,所述两个第一鳍部201为一个多鳍部场效应晶体管(Multiple-FINFET)的两个子鳍部,后续在两个第一鳍部201上形成一个横跨两者的栅极结构。
所述第二鳍部202的数量至少为一个,本实施例中所述第二鳍部202的数量为一个。
接着,参考图3,形成覆盖所述第一鳍部201、第二鳍部202和半导体衬底200表面的第一掺杂层203。
所述第一掺杂层203后续作为偏置控制电压的传输路径,第一掺杂层203的掺杂浓度较高,第一掺杂层203中杂质离子的掺杂浓度大于第一鳍部201中杂质离子的掺杂浓度,所述第一掺杂层203中掺杂的杂质离子的浓度为1E18~1E19atom/cm3,以减小第一掺杂层203的导通电阻,第一掺杂层203的厚度较薄,第一掺杂层203的厚度为1~3纳米,使得后续形成的栅极结构对第一鳍部的控制能力不会被削弱或削弱较小。
所述第一掺杂层203的材料为掺杂的半导体材料,所述掺杂的半导体材料可以为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,因此第一掺杂层203不仅可以作为偏置控制电压的传输路径,而且第一掺杂层203中还可以形成鳍式场效应晶体管的部分导电沟道。本实施例中,所述第一掺杂层203的材料为掺杂的多晶硅。本发明的其他实施例中,第一掺杂层203的材料为掺杂的碳化硅或掺杂的硅锗,根据待形成鳍式场效应晶体管的类型不同,选择第一掺杂层203的材料,以提高鳍式场效应晶体管沟道区载流子的迁移率,具体的,当鳍式场效应晶体管为P型时,第一掺杂层203的材料为掺杂的硅锗,当鳍式场效应晶体管为N型时,第一掺杂层203的材料为掺杂的碳化硅。
本实施例中,第一掺杂层203掺杂类型与第一鳍部201的掺杂类型相同,使得第一掺杂层203中可以形成部分导电沟道。具体的,当形成的鳍式场效应晶体管为P型鳍式场效应晶体管时,第一鳍部201中掺杂有N型杂质离子,相应的,第一掺杂层203掺杂有N型杂质离子,比如:磷离子、砷离子或锑离子一种或几种;当形成的鳍式场效应晶体管为N型鳍式场效应晶体管时,第一鳍部201中掺杂有P型杂质离子,相应的,第一掺杂层203掺杂有P型杂质离子,比如硼离子、镓离子或铟离子中的一种或几种。
在本发明的其他实施例中,第一掺杂层203掺杂类型可以与第一鳍部201的掺杂类型不相同,可以对鳍式场效应晶体管的阈值电压进行调节。
本实施例中,形成所述第一掺杂层203的工艺为原位掺杂选择性外延沉积工艺,原位掺杂选择性外延沉积工艺的温度是600~1100摄氏度,压强1~500托,硅源气体是SiH4或DCS,锗源气体是GeH4,选择性气体为HCl或氯气,载气为氢气,杂质源气体为B2H6或BF3、PH3或AsH3等,其中硅源气体、锗源气体、HCl的流量均为1~1000sccm,氢气的流量是0.1~50slm。
需要说明的是,可以通过刻蚀工艺去除半导体衬底上的第一鳍部和第二鳍部区域之外的第一掺杂层。
接着,请参考图4,在所述第一掺杂层203表面形成第二掺杂层204,所述第二掺杂层204中掺杂离子的浓度小于第一掺杂层203中掺杂离子的浓度。
第二掺杂层203作为第一掺杂层203和后续形成的栅极结构之间的过渡层,所述第二掺杂层204中掺杂离子的浓度小于第一掺杂层203中掺杂离子的浓度,可以防止第一掺杂层203和栅极结构之间产生漏电流,并且第二掺杂层204的材料与第一掺杂层203的材料相同,第二掺杂层204的掺杂类型与第一掺杂层203的掺杂类型相同,第二掺杂层204中可以形成鳍式场效应晶体管的部分沟道,第一掺杂层203和第二掺杂层203的存在不会影响鳍式场效应晶体管的导通。
在本发明的其他实施例中,所述第二掺杂层204与第一掺杂层203的材料可以不相同,第二掺杂层204的掺杂类型与第一掺杂层203的掺杂类型也可以不相同。
第二掺杂层204的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,第二掺杂层204中掺杂的杂质离子为P型杂质离子或N型杂质离子。本实施例中,所述第二掺杂层204的材料为掺杂的多晶硅,第二掺杂层204形成工艺为原位掺杂选择性外延工艺。
所述第二外延层204的厚度小于或等于第一外延层203的厚度,所述第二外延层204的厚度为0.5~3纳米,所述第二掺杂层中掺杂的杂质离子的浓度为5E16~5E18atom/cm3
本发明的其他实施例中,所述第二掩膜层的厚度可以大于第一掺杂层的厚度。
在本发明的其他实施例中,可以采用刻蚀工艺去除半导体衬底和第二鳍部上的部分第二掺杂层,保留第一鳍部侧壁和顶端上的第二掺杂层。
需要说明的是,在本发明的其他实施例中,也可以不形成第二掺杂层,直接在形成第一掺杂层后进行后续的工艺。
接着,请参考图5,在所述第二掺杂层204上形成隔离层205,所述隔离层205的表面低于第一鳍部201和第二鳍部202顶端上的第一掺杂层203的表面。
所述隔离层205用于隔离相邻的鳍部上的第一掺杂层203和第二掺杂层204,还用于隔离后续形成的栅极结构和半导体衬底200上的第一掺杂层203和第二掺杂层204。
所述隔离层205的材料可以为氧化硅,隔离层205形成的具体过程为:形成覆盖所述第二掺杂层204的隔离材料层(图中未示出);然后平坦化所述隔离材料层,使隔离层材料层的表面与第一鳍部201和第二鳍部202上的第二掺杂层204的表面平齐;然后回刻蚀平坦化后的隔离材料层,使得剩余的隔离材料层的表面低于第一鳍部201和第二鳍部202顶端表面。
接着,请参考图6,形成横跨所述第一鳍部201的侧壁和顶端表面的栅极结构208,所述栅极结构覆盖所述第一鳍部的侧壁上顶端的第二掺杂层204。
所述栅极结构208包括栅介质层206和位于栅介质层206上的栅电极207,以及位于栅介质层206和栅极207两侧侧壁的侧墙(图中未示出)。
本实施例中,所述栅极结构208为金属栅极结构,所述栅介质层206为高介电常数材料,例如可以为HfO2,HfSiO,HfSiON,HfTaO,HfZrO,Al2O3和ZrO2中的一种或几种,所述栅电极207的材料为金属,例如可以为Al,Cu,Ti。金属栅极结构采用后栅工艺形成,在此不再赘述。
在本发明的其他实施例中,所述栅极结构为多晶硅栅极结构,栅介质层材料为氧化硅,栅电极材料为多晶硅。
在形成栅极结构208后,还包括:对栅极结构208两侧的第一鳍部201内进行离子注入,形成鳍式场效应晶体管的源/漏区。
接着,请参考图7,在所述隔离层205上形成介质层209,所述介质层209覆盖所述栅极结构208和第二掺杂层204,且介质层209的表面高于栅极结构208的顶部表面。
所述介质层209的材料为氧化硅、氮化硅或低介电常数材料等。介质层209的形成工艺为化学气相沉积。
然后,请参考图8,在所述介质层209中形成通孔210,所述通孔210暴露出第二鳍部202顶端上的第二掺杂层204表面(或第一掺杂层203表面)。
刻蚀所述介质层209的工艺为等离子体刻蚀工艺,在刻蚀所述介质层209之前,在所述介质层209上形成图形化的光刻胶或者图形化的掩膜层。
所述通孔210中后续用于填充金属材料,形成用于连接偏置控制电压的导电插塞。
在形成通孔210中,在所述介质层209中还可以形成包括栅极结构208表面的第二通孔、以及暴露源区和漏区表面的第三通孔,后续在第二通孔和第三通孔中填充金属材料,形成第二导电插塞和第三导电插塞。
参考图9,去除通孔210底部暴露的第二掺杂层204。
由于第二掺杂层204中掺杂离子的浓度较低,为了减小后续通孔210中形成的导电插塞与第一掺杂层203之间的接触电阻,本实施例中,需要去除通孔210底部暴露的第二掺杂层204。
去除通孔210底部暴露的第二掺杂层204工艺为湿法刻蚀工艺或干法刻蚀工艺。湿法刻蚀工艺采用的溶液为TMAH(四甲基氢氧化氨溶液)或氢氧化钾,干法刻蚀采用的气体为含氟或含氯的气体。
由于刻蚀去除第二掺杂层204可能会对第一掺杂层造成过刻蚀或损伤,在本发明的其他实施例中,也可以不去除通孔210底部的第二掺杂层204,而是采用离子注入工艺对通孔210底部的第二掺杂层204进行离子注入,以增加通孔210底部的第二掺杂层204中离子的掺杂浓度,减小通孔210底部的第二掺杂层204的电阻。离子注入的离子类型与第二掺杂层204中本身的掺杂离子类型相同。
在本发明的其他实施例中,不去除通孔210底部的第二掺杂层204时,进行金属硅化物工艺,在通孔210的底部形成金属硅化物层,增加后续形成的导电插塞第一掺杂层203的粘附性能,并减小导电插塞与第一掺杂层203的接触电阻。金属硅化物工艺的具体过程为:在所述通孔210的侧壁和底部以及介质层209上形成金属层,比如镍金属层或钴金属层;对所述金属层进行退火,金属层中的金属与第二掺杂层204中的硅反应,形成金属硅化物;然后去除未反应的金属层。
最后,参考图10,在所述通孔210(参考图9)中形成导电插塞211,所述导电插塞211用于连接偏置控制电压。
所述导电插塞211的材料为金属,比如铜、铝或钨等。
在通孔210中形成导电插塞211之前,还包括:在通孔210的侧壁形成阻挡层(图中未示出),以防止导电插塞211中的金属原子向介质层209中扩散。所述阻挡层可以为Ti/TiN双层结构或者Ta/TaN双层结构,所述阻挡层也可以为单层结构。
当鳍式场效应晶体管需要进行阈值电压的调节时,只需要将导电插塞211连接偏置控制电压,偏置控制电压通过第一掺杂层203传导至栅极结构208下方的第一鳍部201表面附近,传输的路径相对简短,并且传输路径的电阻较小,使得栅极结构208下方的第一鳍部201表面附近的电势相对于导电插塞211上的电势削弱较小,对阈值电压的调节能力较强,并且对阈值电压的调节较为方便,另外,由于偏置控制电压的削弱较小,因此可以以较低的偏置控制电压的实现阈值电压的调节,节省功耗。
上述方法形成的鳍式场效应晶体管,参考图10,包括:
半导体衬底200,所述半导体衬底200上形成有分立的第一鳍部201和第二鳍部202;覆盖所述第一鳍部201、第二鳍部202和半导体衬底200表面的第一掺杂层203;位于第一掺杂层203上的隔离层205,所述隔离层205的表面低于第一鳍部201和第二鳍部202顶端上的第一掺杂层203表面;位于第一掺杂层203上横跨所述第一鳍部201的侧壁和顶端的栅极结构208;位于隔离层205上的介质层209,所述介质层209覆盖所述栅极结构208和第一掺杂层203;位于介质层209中的通孔,所述通孔暴露出第二鳍部202顶端上的第一掺杂层;填充所述通孔的导电插塞211,所述导电插塞211用于连接偏置控制电压。
所述第一掺杂层203表面还具有第二掺杂层204,所述第二掺杂层204中掺杂的杂质离子的浓度小于第一掺杂层203中掺杂的杂质离子的浓度。
所述第一掺杂层203中掺杂离子的浓度为1E18~1E19atom/cm3,所述第二掺杂层204中掺杂离子的浓度为5E16~5E18atom/cm3。第一掺杂层203中掺杂的杂质离子的浓度大于第一鳍部201中掺杂的杂质离子的浓度。
所述第二掺杂层204的材料与第一掺杂层203的材料相同,第二掺杂层204的掺杂类型与第一掺杂层203的掺杂类型相同。所述第一掺杂层203的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,第一掺杂层的材料。
第一掺杂层203的厚度为1~3纳米,第二掺杂层204的厚度为0.5~3纳米。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (20)

1.一种鳍式场效应晶体管的形成方法,其特征在于,包括:
提供半导体衬底,所述半导体衬底上形成有分立的第一鳍部和第二鳍部;
形成覆盖所述第一鳍部、第二鳍部和半导体衬底表面的第一掺杂层;
在第一鳍部、第二鳍部两侧的第一掺杂层上形成隔离层,所述隔离层的表面低于第一鳍部和第二鳍部顶端上的第一掺杂层表面;
在第一掺杂层上形成横跨所述第一鳍部的侧壁和顶端的栅极结构;
在所述隔离层上形成介质层,所述介质层覆盖所述栅极结构和第一掺杂层;
在所述介质层中形成通孔,所述通孔暴露出第二鳍部顶端上的第一掺杂层;
在所述通孔中形成导电插塞,所述导电插塞用于连接偏置控制电压。
2.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,所述第一掺杂层的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,第一掺杂层中掺杂的杂质离子的浓度大于第一鳍部中掺杂的杂质离子的浓度。
3.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,第一掺杂层的掺杂类型与第一鳍部的掺杂类型相同。
4.如权利要求3所述的鳍式场效应晶体管的形成方法,其特征在于,第一掺杂层的厚度为1~3纳米,所述第一掺杂层中掺杂的杂质离子的浓度为1E18~1E19atom/cm3
5.如权利要求3所述的鳍式场效应晶体管的形成方法,其特征在于,所述第一掺杂层中掺杂的离子为硼离子、镓离子、铟离子、磷离子、砷离子或锑离子中的一种或几种。
6.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,在形成所述隔离层之前,在所述第一掺杂层表面形成第二掺杂层,所述第二掺杂层中掺杂离子的浓度小于第一掺杂层中掺杂离子的浓度。
7.如权利要求6所述的鳍式场效应晶体管的形成方法,其特征在于,所述第二掺杂层中掺杂离子的浓度为5E16~5E18atom/cm3
8.如权利要求6所述的鳍式场效应晶体管的形成方法,其特征在于,所述第二掺杂层的材料与第一掺杂层的材料相同,第二掺杂层的掺杂类型与第一掺杂层的掺杂类型相同。
9.如权利要求6所述的鳍式场效应晶体管的形成方法,其特征在于,所述第二掺杂层的厚度为0.5~3纳米。
10.如权利要求6所述的鳍式场效应晶体管的形成方法,其特征在于,在所述介质层中形成通孔,所述通孔暴露出第二鳍部顶端上的第二掺杂层;去除通孔内暴露的第二掺杂层,露出第一掺杂层。
11.如权利要求6所述的鳍式场效应晶体管的形成方法,其特征在于,在所述介质层中形成通孔,所述通孔暴露出第二鳍部顶端上的第二掺杂层;沿通孔对所述暴露的第二掺杂层进行离子注入,以增加第二掺杂层中的掺杂离子的浓度。
12.如权利要求1或6所述的鳍式场效应晶体管的形成方法,其特征在于,还包括:在通孔的底部形成金属硅化物。
13.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,形成栅极结构后,在栅极结构两侧的第一鳍部内形成源/漏区。
14.一种鳍式场效应晶体管,其特征在于,包括:
半导体衬底,所述半导体衬底上形成有分立的第一鳍部和第二鳍部;
覆盖所述第一鳍部、第二鳍部和半导体衬底表面的第一掺杂层;
位于第一鳍部、第二鳍部两侧的第一掺杂层上的隔离层,所述隔离层的表面低于第一鳍部和第二鳍部顶端上的第一掺杂层表面;
位于第一掺杂层上横跨所述第一鳍部的侧壁和顶端的栅极结构;
位于隔离层上的介质层,所述介质层覆盖所述栅极结构和第一掺杂层;
位于介质层中的通孔,所述通孔暴露出第二鳍部顶端上的第一掺杂层;
填充所述通孔的导电插塞,所述导电插塞用于连接偏置控制电压。
15.如权利要求14所述的鳍式场效应晶体管,其特征在于,所述第一掺杂层的材料为掺杂的多晶硅、掺杂的碳化硅或掺杂的硅锗,第一掺杂层中掺杂的杂质离子的浓度大于第一鳍部中掺杂的杂质离子的浓度。
16.如权利要求14所述的鳍式场效应晶体管,其特征在于,第一掺杂层掺杂类型与第一鳍部的掺杂类型相同。
17.如权利要求15所述的鳍式场效应晶体管,其特征在于,第一掺杂层的厚度为1~3纳米,所述第一掺杂层中掺杂的杂质离子的浓度为1E18~1E19atom/cm3
18.如权利要求14所述的鳍式场效应晶体管,其特征在于,第一掺杂层表面还具有第二掺杂层,所述第二掺杂层中掺杂的杂质离子的浓度小于第一掺杂层中掺杂的杂质离子的浓度。
19.如权利要求18所述的鳍式场效应晶体管,其特征在于,所述第二掺杂层中掺杂的杂质离子的浓度为5E16~5E18atom/cm3
20.如权利要求18所述的鳍式场效应晶体管,其特征在于,所述第二掺杂层的材料与第一掺杂层的材料相同,第二掺杂层的掺杂类型与第一掺杂层的掺杂类型相同。
CN201310259904.1A 2013-06-26 2013-06-26 鳍式场效应晶体管及其形成方法 Active CN104253046B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201310259904.1A CN104253046B (zh) 2013-06-26 2013-06-26 鳍式场效应晶体管及其形成方法
US14/078,655 US8883585B1 (en) 2013-06-26 2013-11-13 Fin field-effect transistors and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310259904.1A CN104253046B (zh) 2013-06-26 2013-06-26 鳍式场效应晶体管及其形成方法

Publications (2)

Publication Number Publication Date
CN104253046A CN104253046A (zh) 2014-12-31
CN104253046B true CN104253046B (zh) 2016-12-28

Family

ID=51845729

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310259904.1A Active CN104253046B (zh) 2013-06-26 2013-06-26 鳍式场效应晶体管及其形成方法

Country Status (2)

Country Link
US (1) US8883585B1 (zh)
CN (1) CN104253046B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
KR102366976B1 (ko) 2015-05-04 2022-02-24 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
JP6539578B2 (ja) 2015-12-22 2019-07-03 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9786505B2 (en) 2015-12-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device using dummy fins for smooth profiling
CN107036856B (zh) * 2016-02-03 2019-09-27 中芯国际集成电路制造(上海)有限公司 离子注入测试样品的制备方法及测试方法
US9905663B2 (en) 2016-06-24 2018-02-27 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US9881918B1 (en) 2016-09-30 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US10727131B2 (en) * 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
CN109216470B (zh) * 2017-07-03 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11393934B2 (en) * 2017-12-27 2022-07-19 Intel Corporation FinFET based capacitors and resistors and related apparatuses, systems, and methods
CN110534432B (zh) * 2018-05-25 2023-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110890279B (zh) * 2018-09-11 2023-09-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11721728B2 (en) * 2020-01-30 2023-08-08 Globalfoundries U.S. Inc. Self-aligned contact

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1784782A (zh) * 2003-05-05 2006-06-07 国际商业机器公司 多高度鳍片场效应晶体管
CN102122645A (zh) * 2010-01-08 2011-07-13 中芯国际集成电路制造(上海)有限公司 集成电路结构、其制造方法和使用方法
CN103165459A (zh) * 2011-12-15 2013-06-19 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制作方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4518180B2 (ja) * 2008-04-16 2010-08-04 ソニー株式会社 半導体装置、および、その製造方法
US20120049281A1 (en) * 2010-08-27 2012-03-01 Toshiba America Electronic Components, Inc. Semiconductor device with effective work function controlled metal gate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1784782A (zh) * 2003-05-05 2006-06-07 国际商业机器公司 多高度鳍片场效应晶体管
CN102122645A (zh) * 2010-01-08 2011-07-13 中芯国际集成电路制造(上海)有限公司 集成电路结构、其制造方法和使用方法
CN103165459A (zh) * 2011-12-15 2013-06-19 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制作方法

Also Published As

Publication number Publication date
CN104253046A (zh) 2014-12-31
US8883585B1 (en) 2014-11-11

Similar Documents

Publication Publication Date Title
CN104253046B (zh) 鳍式场效应晶体管及其形成方法
US20200357916A1 (en) Source/drain contacts for non-planar transistors
US9397197B1 (en) Forming wrap-around silicide contact on finFET
US8043919B2 (en) Method of fabricating semiconductor device
US10243060B2 (en) Uniform low-k inner spacer module in gate-all-around (GAA) transistors
KR101891458B1 (ko) Iii-v 반도체 재료 층을 갖는 반도체 디바이스
CN102983165B (zh) 控制沟道厚度的FinFET设计
US7824969B2 (en) Finfet devices and methods for manufacturing the same
US9741830B2 (en) Method for forming metal oxide semiconductor device
CN106920776A (zh) 鳍式晶体管的形成方法
KR102582484B1 (ko) 소스-드레인 엔지니어링을 이용한 2d-채널 트랜지스터 구조물
US20220293760A1 (en) Epitaxial structure for source/drain contact
CN109473398B (zh) 半导体元件及其制造方法
CN106558493B (zh) 鳍式场效应管的形成方法
TWI742137B (zh) 半導體裝置的製造方法
CN113363321B (zh) 半导体结构及其形成方法
US20130175640A1 (en) Stress enhanced mos transistor and methods for fabrication
CN112420831B (zh) 半导体结构及其形成方法
CN104465377B (zh) Pmos晶体管及其形成方法
CN104347508A (zh) 半导体结构及其形成方法
CN105720090A (zh) 改进的晶体管沟道
CN103779212A (zh) 半导体结构及其制造方法
CN111162074A (zh) 半导体结构及其形成方法
CN110957219B (zh) 半导体器件及其形成方法
US20240055502A1 (en) Semiconductor device and formation method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant