CN104022037A - 鳍式场效应晶体管及其形成方法 - Google Patents

鳍式场效应晶体管及其形成方法 Download PDF

Info

Publication number
CN104022037A
CN104022037A CN201310064769.5A CN201310064769A CN104022037A CN 104022037 A CN104022037 A CN 104022037A CN 201310064769 A CN201310064769 A CN 201310064769A CN 104022037 A CN104022037 A CN 104022037A
Authority
CN
China
Prior art keywords
fin
side wall
doping
field effect
formula field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310064769.5A
Other languages
English (en)
Other versions
CN104022037B (zh
Inventor
殷华湘
三重野文健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201310064769.5A priority Critical patent/CN104022037B/zh
Priority to US13/940,283 priority patent/US8809173B1/en
Priority to US14/327,299 priority patent/US9054193B2/en
Publication of CN104022037A publication Critical patent/CN104022037A/zh
Application granted granted Critical
Publication of CN104022037B publication Critical patent/CN104022037B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种鳍式场效应晶体管及其形成方法,其中所述鳍式场效应晶体管的形成方法包括:提供半导体衬底,所述半导体衬底表面具有凸起的鳍部,所述鳍部之间具有隔离结构,所述隔离结构的顶表面低于所述鳍部的顶表面;在所述鳍部的侧壁周围形成掺杂侧墙,所述掺杂侧墙的高度小于所述鳍部的高度,所述掺杂侧墙的掺杂类型与所述鳍部的掺杂类型相同,且所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度;对所述掺杂侧墙退火,使所述掺杂侧墙中的杂质扩散进入所述鳍部形成穿通阻挡层。本发明的鳍式场效应晶体管可以减少器件操作过程中的穿通现象。

Description

鳍式场效应晶体管及其形成方法
技术领域
本发明涉及半导体技术领域,尤其涉及一种鳍式场效应晶体管及其形成方法。
背景技术
MOS晶体管通过在栅极施加电压,调节通过沟道区域的电流来产生开关信号。但当半导体技术进入45纳米以下节点时,传统的平面式MOS晶体管对沟道电流的控制能力变弱,造成严重的漏电流。鳍式场效应晶体管(Fin FET)是一种新兴的多栅器件,它一般包括凸出于衬底表面的半导体鳍部,在部分鳍部上方沿其侧边外形成的栅极,和在栅极两侧的鳍部内形成的晶体管的源区和漏区。
请参考图1,图1示出了现有技术的一种鳍式场效应晶体管的立体结构示意图,包括:半导体衬底101,所述半导体衬底101上形成有凸起的鳍部103,所述鳍部103通过对半导体衬底101刻蚀后得到;介质层104,覆盖所述半导体衬底101的表面以及鳍部103的侧壁的一部分;栅极结构105,横跨在所述鳍部103上,覆盖所述鳍部103的部分顶部和侧壁,栅极结构105包括栅介质层(未示出)和位于栅介质层上的栅电极(未示出)。
但是,在上述的鳍式场效应晶体管操作过程中,所述鳍部103的底部距离栅极结构105较远,栅极结构105产生的电场较弱,控制能力较弱,在晶体管操作过程中容易在所述鳍部103的底部产生从源区到漏区的穿通现象(punch-through phenomenon)。尤其是现有技术中所述鳍部103为了获得较高的载流子迁移率,所述鳍部103的掺杂浓度较低,穿通现象严重,短沟道效应(short channel effect)严重。
因此,现有技术的鳍式场效应晶体管在操作过程中,鳍部的底部存在源区到漏区的穿通现象。
更多有关鳍式场效应晶体管的形成方法还可以参考公开号为US2007/0155142A1美国专利申请。
发明内容
本发明解决的问题是现有技术鳍式场效应晶体管在操作过程中,鳍部的底部存在源区到漏区的穿通现象。
为解决上述问题,本发明提供了一种鳍式场效应晶体管的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有凸起的鳍部,所述鳍部之间具有隔离结构,所述隔离结构的顶表面低于所述鳍部的顶表面;在所述鳍部的侧壁周围形成掺杂侧墙,所述掺杂侧墙的高度小于所述鳍部的高度,所述掺杂侧墙的掺杂类型与所述鳍部的掺杂类型相同,且所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度;对所述掺杂侧墙退火,使所述掺杂侧墙中的杂质扩散进入所述鳍部形成穿通阻挡层。
可选的,还包括在所述鳍部顶表面上形成硬掩膜层。
可选的,还包括在形成掺杂侧墙前,以所述硬掩膜层为掩膜刻蚀所述隔离结构,在所述隔离结构与所述鳍部的接触部分形成凹槽。
可选的,所述掺杂侧墙填充所述凹槽。
可选的,刻蚀所述隔离结构的工艺为干法刻蚀,所述干法刻蚀的刻蚀气体包括NH3和HF。
可选的,所述掺杂侧墙的高度小于所述鳍部的高度的三分之一。
可选的,在所述鳍部的侧壁周围形成掺杂侧墙的工艺包括:形成覆盖所述鳍部和所述隔离结构的掺杂侧墙材料层;回刻蚀所述掺杂侧墙材料层,在所述鳍部的侧壁周围形成掺杂侧墙。
可选的,所述掺杂侧墙的材料为氧化硅、氮化硅、硅碳氧、碳、高介电常数材料、低介电常数材料、多晶硅、非晶硅或者锗。
可选的,所述掺杂侧墙的掺杂浓度大于1018cm-3
可选的,对所述掺杂侧墙退火的温度范围为800摄氏度~1000摄氏度,退火时间大于1分钟。
可选的,还包括:在形成穿通阻挡层之后氧化部分所述鳍部,形成氧化层;去除所述氧化层;对所述鳍部进行氢气退火。
可选的,还包括:在所述鳍部上形成伪栅,所述伪栅覆盖部分所述鳍部的顶表面和侧壁;在所述伪栅两侧形成侧墙;在所述伪栅两侧的鳍部内形成源区和漏区;形成覆盖所述鳍部和所述隔离结构的介质层,所述介质层的顶表面与所述伪栅的顶表面齐平。
可选的,所述源区和漏区为嵌入式源区和漏区,所述嵌入式源区和漏区的材料为锗硅或者碳化硅。
可选的,还包括:在形成覆盖所述鳍部和所述隔离结构的介质层后,去除所述伪栅,形成开口,所述开口暴露部分所述鳍部表面;在所述开口内形成栅介质层;在所述栅介质层上形成金属栅极。
对应的,本发明还提供了一种鳍式场效应晶体管,包括:半导体衬底,所述半导体衬底表面具有凸起的鳍部;位于所述鳍部之间的隔离结构,所述隔离结构的顶表面低于所述鳍部的顶表面;位于所述鳍部的侧壁周围的掺杂侧墙,所述掺杂侧墙的高度小于所述鳍部的高度,所述掺杂侧墙的掺杂类型与所述鳍部的掺杂类型相同,且所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度;位于所述鳍部内的穿通阻挡层,所述穿通阻挡层与所述掺杂侧墙的位置相对应,所述穿通阻挡层的掺杂类型与所述鳍部的掺杂类型相同,且所述穿通阻挡层的掺杂浓度大于所述鳍部的掺杂浓度。
可选的,还包括位于所述隔离结构与所述鳍部接触部分的凹槽,所述掺杂侧墙填充所述凹槽。
可选的,所述掺杂侧墙的材料为氧化硅、氮化硅、硅碳氧、碳、高介电常数材料、低介电常数材料、多晶硅、非晶硅或者锗,所述掺杂侧墙的高度小于所述鳍部的高度的三分之一,所述掺杂侧墙的掺杂浓度大于1018cm-3
可选的,还包括:覆盖部分所述鳍部的顶表面和侧壁的栅极结构,所述栅极结构包括栅介质层和位于栅介质层上的金属栅极;位于所述栅极结构两侧的鳍部内的源区和漏区。
可选的,所述源区和漏区为嵌入式源区和漏区,所述嵌入式源区和漏区的材料为锗硅或者碳化硅。
可选的,还包括覆盖所述鳍部、所述源区和漏区、所述栅极结构和所述隔离结构的介质层,所述介质层的顶表面与所述栅极结构的顶表面齐平。
与现有技术相比,本发明具有以下优点:
本发明实施例的鳍式场效应晶体管的形成方法中,在鳍部的侧壁周围形成掺杂侧墙,由于所述掺杂侧墙的高度小于所述鳍部的高度,所述掺杂侧墙的掺杂类型与所述鳍部的掺杂类型相同,且所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度,在对所述掺杂侧墙退火后,使所述掺杂侧墙中的杂质扩散进入所述鳍部形成穿通阻挡层(punch through stop layer),所述穿通阻挡层的掺杂浓度也大于所述鳍部的掺杂浓度。本发明实施例中,通过高掺杂浓度的掺杂侧墙在鳍部内形成掺杂浓度大于所述鳍部的穿通阻挡层,可以有效减小空间电荷区在电场下的展宽,防止源区和漏区空间电荷区连通,减少了穿通现象的产生。且形成所述穿通阻挡层的工艺简单。
进一步的,本发明实施例的鳍式场效应晶体管的形成方法,以位于所述鳍部上的硬掩膜层为掩膜,刻蚀所述隔离结构,在所述隔离结构与所述鳍部的接触部分形成凹槽,后续形成掺杂侧墙后,所述掺杂侧墙填充所述凹槽,使所述掺杂侧墙具有更大的体积。后续对所述掺杂侧墙退火,更多的杂质离子扩散进入所述鳍部,提高了穿通阻挡层的掺杂浓度。
对应的,本发明实施例的鳍式场效应晶体管采用上述的形成方法所形成,在鳍部内具有与所述掺杂侧墙位置对应的穿通阻挡层,所述穿通阻挡层的掺杂浓度大于所述鳍部的掺杂浓度,防止了源区和漏区空间电荷区连通,可以减少鳍部底部的穿通现象的产生。
附图说明
图1是现有技术的鳍式场效应晶体管的立体结构示意图;
图2至图11是本发明实施例的鳍式场效应晶体管形成过程的结构示意图。
具体实施方式
由背景技术可知,现有技术鳍式场效应晶体管在操作过程中,鳍部的底部存在源区到漏区之间的穿通现象。
本发明的发明人通过研究现有技术鳍式场效应晶体管,发现在鳍式场效应晶体管的操作过程中,鳍部的底部与栅极结构的距离较远,栅极结构对鳍部的底部的控制能力较弱,且所述鳍部的掺杂浓度较小,沟道区域的空间电荷区在电场下展宽,源区和漏区空间电荷区连通,导致了鳍式场效应晶体管的鳍部的底部存在源区和漏区之间的穿通现象。
基于以上研究,本发明的发明人提出了一种鳍式场效应晶体管的形成方法,在鳍部的侧壁周围形成掺杂侧墙,所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度,通过退火使所述掺杂侧墙中的杂质扩散进入所述鳍部形成穿通阻挡层。由于所述穿通阻挡层的掺杂浓度大于所述鳍部的掺杂浓度,可以减小空间电荷区在电场下的展宽,防止源区和漏区空间电荷区连通,减少了穿通现象的产生。
下面结合附图详细地描述具体实施例,上述的目的和本发明的优点将更加清楚。
图2至图11是本发明实施例的鳍式场效应晶体管的形成过程的结构示意图。
请参考图2和图3,图3为图2沿AA1方向的剖面结构示意图,提供半导体衬底200,所述半导体衬底200表面具有凸起的鳍部201,所述鳍部201之间具有隔离结构202,所述隔离结构202的顶表面低于所述鳍部201的顶表面。
所述半导体衬底200可以是硅或者绝缘体上硅(SOI),所述半导体衬底200也可以是锗、锗硅、砷化镓或者绝缘体上锗。所述半导体衬底200表面具有鳍部201,所述鳍部201为通过对所述半导体衬底200刻蚀后形成的凸起结构。
本实施例中,还包括在所述鳍部201顶表面上形成硬掩膜层203,所述硬掩膜层203为氧化硅层、氮化硅层、或者氧化硅和氮化硅的堆叠结构。所述硬掩膜层203为刻蚀所述半导体衬底200形成所述鳍部201的掩膜层。
所述隔离结构202的材料为氧化硅,本实施例中,所述隔离结构202为浅沟槽隔离结构(STI)。所述浅沟槽隔离结构的形成方法包括:在形成所述鳍部201后,在所述半导体衬底表面沉积隔离材料层,所述隔离材料层覆盖所述鳍部201;以所述硬掩膜层203为停止层,研磨所述隔离材料层,直至暴露出所述硬掩膜层203的表面;刻蚀所述隔离材料层,使所述隔离材料层的顶表面低于所述鳍部201的顶表面,位于所述鳍部201之间的隔离材料层构成浅沟槽隔离结构。
请参考图4,图4为在图3的基础上形成鳍式场效应晶体管的剖面结构示意图,以所述硬掩膜层203为掩膜,刻蚀所述隔离结构202,在所述隔离结构202与所述鳍部201的接触部分形成凹槽204。
具体的,以所述硬掩膜层203为掩膜,采用干法刻蚀工艺刻蚀所述隔离结构202,通过控制刻蚀参数,在所述隔离结构202与所述鳍部201的接触部分形成凹槽204。本实施例中,刻蚀所述隔离结构202的工艺为反应离子刻蚀,刻蚀气体包括NH3和HF。刻蚀过程中,HF和NH3与隔离结构202中的氧化硅反应,形成聚合物,如(NH42SiF6等,其聚集于隔离结构202的表面,由于鳍部201的阻挡作用,所述聚合物更多的形成于所述隔离结构202的中间区域,使隔离结构202中间区域的刻蚀速率小于与鳍部201接触区域的刻蚀速率,可以在所述隔离结构202与所述鳍部201的接触部分形成凹槽204。所述凹槽204的深度可以为5纳米、10纳米、15纳米或者30纳米等。后续形成覆盖所述鳍部201的掺杂侧墙材料层,由于所述的掺杂侧墙材料层填充所述凹槽204,所述隔离结构202与所述鳍部201的接触部分的掺杂侧墙材料层厚度较大,回刻蚀所述掺杂侧墙材料层后,位于所述凹槽204内的掺杂侧墙材料层得以保留形成掺杂侧墙,使所述掺杂侧墙具有更大的体积。后续对所述掺杂侧墙退火,更多的杂质离子扩散进入所述鳍部,提高了穿通阻挡层的掺杂浓度。
在另一实施例中,形成所述凹槽的工艺与刻蚀所述隔离材料层形成隔离结构的工艺在同一步刻蚀工艺中完成,工艺简单。
在另一实施例中,无需在所述隔离结构与所述鳍部的接触部分形成凹槽,后续形成覆盖所述鳍部的掺杂侧墙材料层,回刻蚀所述掺杂侧墙材料层,位于所述鳍部底部周围的掺杂侧墙材料层形成掺杂侧墙,工艺简单。
请参考图5,形成覆盖所述鳍部201和所述隔离结构202的掺杂侧墙材料层205。所述掺杂侧墙材料层205填充所述凹槽204(参考图4)。
具体的,采用化学气相沉积、物理气相沉积或者原子层沉积工艺形成覆盖所述鳍部201和所述隔离结构202的掺杂侧墙材料层205。所述掺杂侧墙材料层205可以为氧化硅、氮化硅、硅碳氮、碳、高介电常数材料、低介电常数材料、多晶硅、非晶硅或者锗。所述的高介电常数材料可以为HfO2,HfSiO,HfSiON,HfTaO,HfZrO,Al2O3和ZrO2中的一种或几种;所述低介电常数材料可以为SiCON、SiBCN和SiBOCN中的一种或几种。所述掺杂侧墙材料层205的掺杂类型与所述鳍部201的掺杂类型相同,且所述掺杂侧墙材料层205的掺杂浓度大于所述鳍部201的掺杂浓度,所述掺杂侧墙材料层205中的杂质可以为磷、砷、锑、硼、铟或者镓。所述掺杂侧墙材料层205在后续工艺中用于形成掺杂侧墙,由于所述掺杂侧墙材料层205的掺杂浓度较大,因此后续通过刻蚀所述掺杂侧墙材料层205形成的掺杂侧墙也具有较大的掺杂浓度。本实施例中,所述掺杂侧墙205的材料为氮化硅,所述掺杂侧墙材料层205的掺杂浓度大于1018cm-3
请参考图6,回刻蚀所述掺杂侧墙材料层205(请参考图5),在所述鳍部201的侧壁周围形成掺杂侧墙206,所述掺杂侧墙206的高度小于所述鳍部201的高度,所述掺杂侧墙206的掺杂类型与所述鳍部201的掺杂类型相同,且所述掺杂侧墙206的掺杂浓度大于所述鳍部201的掺杂浓度。
具体的,采用干法刻蚀工艺回刻蚀所述掺杂侧墙材料层205,本实施例中采用反应离子刻蚀工艺回刻蚀所述掺杂侧墙材料层205。反应离子刻蚀具有较好的方向性,回刻蚀所述掺杂侧墙材料层205无需形成掩膜。由于所述掺杂侧墙材料层205填充所述凹槽204(请参考图4),位于所述隔离结构202与所述鳍部201接触部分的掺杂侧墙材料层205较厚,所述鳍部201底部周围的掺杂侧墙材料层205得以保留,形成掺杂侧墙206,位于所述鳍部201顶部和其他区域的掺杂侧墙材料层205被去除。通过控制刻蚀参数,例如刻蚀时间,使所述掺杂侧墙206的高度小于所述鳍部201的高度,所述鳍部201的高度是指所述鳍部201凸出于所述隔离结构202表面的部分的高度。本实施例中,所述掺杂侧墙206的高度小于所述鳍部201高度的三分之一,有利于后续对所述掺杂侧墙206退火形成的穿通阻挡层仅位于所述鳍部201的底部。所述掺杂侧墙206通过刻蚀掺杂侧墙材料层205形成,因此所述掺杂侧墙206具有与所述掺杂侧墙材料层205相同的掺杂类型和掺杂浓度,所述掺杂侧墙206的掺杂类型与所述鳍部201的掺杂类型相同,且所述掺杂侧墙206的掺杂浓度大于所述鳍部201的掺杂浓度。
请参考图7,对所述掺杂侧墙206退火,使所述掺杂侧墙206中的杂质扩散进入所述鳍部201形成穿通阻挡层207。
本实施例中,对所述掺杂侧墙206退火的温度范围为800摄氏度~1000摄氏度,退火时间大于一分钟。由于所述掺杂侧墙206的掺杂浓度大于所述鳍部201的掺杂浓度,高温退火过程中,杂质离子扩散速度增大,杂质离子从所述掺杂侧墙206扩散进入所述鳍部201,且由于所述掺杂侧墙206的掺杂类型与所述鳍部201的掺杂类型相同,杂质离子从所述掺杂侧墙206扩散进入所述鳍部201后,所述鳍部201与所述掺杂侧墙206对应位置的掺杂浓度增大,构成穿通阻挡层207。由于所述穿通阻挡层207与鳍部201相比掺杂浓度较大,可以有效减小空间电荷区在电场下的展宽,防止源区和漏区空间电荷区连通,减少了穿通现象的产生。
在另一实施例中,对所述掺杂侧墙退火,在所述鳍部内形成穿通阻挡层之后,采用选择性刻蚀工艺去除所述掺杂侧墙,以避免所述掺杂侧墙影响所形成的鳍式场效应晶体管的性能。
请参考图8,去除所述硬掩膜层203(参考图7);氧化部分所述鳍部201,形成氧化层208;去除所述氧化层208;对所述鳍部进行氢气退火。
由于所述鳍部201通过对所述半导体衬底200刻蚀后形成,所述鳍部201通常具有凸出的棱角且表面具有缺陷,在后续形成鳍式场效应晶体管后,影响器件性能。因此在形成穿通阻挡层207后,去除位于鳍部201顶表面的硬掩膜层203,氧化部分所述鳍部201,形成氧化层208。所述的氧化部分所述鳍部201可以采用氧等离子体、或者H2SO4和H2O2的混合溶液氧化工艺,氧化过程中,由于所述鳍部201的凸出的棱角部分的比表面积更大,更容易被氧化。后续去除所述氧化层208后,不仅所述鳍部201表面的缺陷层被去除,且凸出的棱角部分也被去除,使所述鳍部201的表面光滑,晶格质量改善,有利于提高后续形成的鳍式场效应晶体管的性能。进一步的,在去除所述氧化层208后,对所述鳍部201进行氢气退火,氢气退火可以进一步修复所述鳍部201表面的损伤,改善所述鳍部201表面晶格结构。
请参考图9,图9为在图8的基础上形成鳍式场效应晶体管的过程中沿图2中BB1方向的剖面结构示意图。在所述鳍部201上形成伪栅209,所述伪栅209覆盖部分所述鳍部201的顶表面和侧壁。
具体的,采用化学气相沉积或者物理气相沉工艺形成覆盖所述鳍部201和所述隔离结构202的伪栅材料层(未示出),所述伪栅材料层的厚度大于所述鳍部201的高度,所述鳍部201的高度是指所述鳍部201凸出于所述隔离结构202表面的部分的高度。采用化学机械抛光工艺研磨所述伪栅材料层,使所述伪栅材料层表面平整。在所述伪栅材料层表面形成图形化光刻胶层(未示出),所述图形化光刻胶层与后续形成的伪栅209的位置相对应。以所述图形化光刻胶层为掩膜,采用干法刻蚀工艺刻蚀所述伪栅材料层,形成伪栅209,所述伪栅209覆盖部分所述鳍部201的顶表面和侧壁。
需要说明的是本实施例中所述鳍式场效应晶体管采用后栅(gate-last)工艺形成,因此先形成伪栅,后续去除所述伪栅再形成栅介质层和栅电极层,有利于减少栅极结构的热预算,提高晶体管性能。在其他实施例中,也可以直接形成栅介质层和栅电极层,无需形成伪栅。
请参考图10,在所述伪栅209两侧形成侧墙210;在所述伪栅209两侧的鳍部201内形成源区和漏区211;形成覆盖所述鳍部201和所述隔离结构202的介质层212,所述介质层212的顶表面与所述伪栅209的顶表面齐平。
本实施例中,在所述伪栅209两侧形成侧墙210的工艺包括:在所述伪栅209上形成侧墙材料层(未图示);回刻蚀所述侧墙材料层,位于所述伪栅209两侧的侧墙材料层形成侧墙210,其余部分的伪栅材料层被去除。
本实施例中,所述源区和漏区211为嵌入式源区和漏区。所述嵌入式源区和漏区的形成工艺包括:去除所述伪栅209两侧的部分鳍部201;采用选择性外延工艺在所述伪栅209两侧形成嵌入式源区和漏区。所述嵌入式源区和漏区的材料可以为锗硅或者碳化硅,所述嵌入式源区和漏区可以在鳍式场效应晶体管的沟道区域引入应力,提高载流子迁移率。在另一实施例中,直接对所述伪栅209两侧的鳍部201进行离子注入形成源区和漏区211。
在形成源区和漏区211后,形成覆盖所述鳍部201和所述隔离结构202的介质材料层,采用化学机械抛光工艺研磨所述介质材料层,直至暴露出所述伪栅209的顶表面,剩余介质材料层构成介质层212。所述介质层212的材料可以为氧化硅、氮化硅或者氮氧化硅。
请参考图11,去除所述伪栅209,形成开口(未标示),所述开口暴露部分所述鳍部201表面;在所述开口内形成栅介质层(未图示);在所述栅介质层上形成金属栅极213。
本实施例中,采用湿法刻蚀或者干法刻蚀工艺去除所述伪栅209,形成开口,在所述开口内形成栅介质材料层和位于栅介质材料层上的金属栅材料层(未图示)。所述栅介质材料层的材料可以为HfO2、Al2O3、ZrO2、HfSiO、HfSiON、HfTaO和HfZrO中的一种或几种,所述金属栅材料层的材料为W、Al,Cu,Ti,Ta,TaN,NiSi,CoSi,TiN,TiAl和TaSiN中的一种或几种。研磨所述金属栅材料层和所述栅介质材料层,直至暴露出所述介质层212表面,形成栅介质层和金属栅极213。本实施例的栅介质层和金属栅极213采用后栅工艺形成,在后栅工艺中,栅介质层和金属栅极在源区和漏区形成之后形成,可以减少栅介质层和金属栅极的热预算,有利于获得理想的阈值电压,提高晶体管性能。
对应的,本发明实施例还提供了一种鳍式场效应晶体管,请继续参考图11,包括:半导体衬底200,所述半导体衬底200表面具有凸起的鳍部201;位于所述鳍部201之间的隔离结构202,所述隔离结构202的顶表面低于所述鳍部201的顶表面;位于所述鳍部201的侧壁周围的掺杂侧墙206,所述掺杂侧墙206的高度小于所述鳍部201的高度,所述掺杂侧墙206的掺杂类型与所述鳍部201的掺杂类型相同,且所述掺杂侧墙206的掺杂浓度大于所述鳍部201的掺杂浓度;位于所述鳍部201内的穿通阻挡层207,所述穿通阻挡层207与所述掺杂侧墙206的位置相对应,所述穿通阻挡层207的掺杂类型与所述鳍部201的掺杂类型相同,且所述穿通阻挡层207的掺杂浓度大于所述鳍部201的掺杂浓度。
本实施例中,还包括位于所述隔离结构202与所述鳍部201接触部分的凹槽(未标示),所述掺杂侧墙206填充所述凹槽,有利于增大掺杂侧墙206的体积,提高穿通阻挡层207的掺杂浓度。
本实施例中,所述掺杂侧墙206的材料为氧化硅、氮化硅、硅碳氧、碳、高介电常数材料、低介电常数材料、多晶硅、非晶硅或者锗。所述掺杂侧墙206的高度小于所述鳍部201高度的三分之一,所述掺杂侧墙206的掺杂浓度大于1018cm-3
本实施例中,还包括:覆盖部分所述鳍部201的顶表面和侧壁的栅极结构(未标示),所述栅极结构包括栅介质层(未图示)和位于栅介质层上的金属栅极213;位于所述栅极结构两侧的侧墙210;位于所述栅极结构两侧的鳍部201内的源区和漏区211,所述源区和漏区211为嵌入式源区和漏区,所述嵌入式源区和漏区的材料为锗硅或者碳化硅;覆盖所述鳍部201、所述源区和漏区211、所述栅极结构和所述隔离结构202的介质层212,所述介质层212的顶表面与所述栅极结构的顶表面齐平。
本发明实施例的鳍式场效应晶体管采用上述鳍式场效应晶体管的形成方法所形成,具体可参考上述流程。所述鳍式场效应晶体管在鳍部201内具有与所述掺杂侧墙206位置对应的穿通阻挡层207,所述穿通阻挡层207的掺杂类型与所述鳍部201的掺杂类型相同,且所述穿通阻挡层207的掺杂浓度大于所述鳍部201的掺杂浓度,减小了器件操作过程中空间电荷区的展宽,可以减少在在鳍部的底部产生的穿通现象。
本发明虽然已以较佳实施例公开如上,但其并不是用来限定本发明,任何本领域技术人员在不脱离本发明的精神和范围内,都可以利用上述揭示的方法和技术对本发明技术方案做出可能的变动和修改,因此,凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化及修饰,均属于本发明技术方案的保护范围。

Claims (20)

1.一种鳍式场效应晶体管的形成方法,其特征在于,包括:
提供半导体衬底,所述半导体衬底表面具有凸起的鳍部,所述鳍部之间具有隔离结构,所述隔离结构的顶表面低于所述鳍部的顶表面;
在所述鳍部的侧壁周围形成掺杂侧墙,所述掺杂侧墙的高度小于所述鳍部的高度,所述掺杂侧墙的掺杂类型与所述鳍部的掺杂类型相同,且所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度;
对所述掺杂侧墙退火,使所述掺杂侧墙中的杂质扩散进入所述鳍部形成穿通阻挡层。
2.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,还包括在所述鳍部顶表面上形成硬掩膜层。
3.如权利要求2所述的鳍式场效应晶体管的形成方法,其特征在于,还包括在形成掺杂侧墙前,以所述硬掩膜层为掩膜刻蚀所述隔离结构,在所述隔离结构与所述鳍部的接触部分形成凹槽。
4.如权利要求3所述的鳍式场效应晶体管的形成方法,其特征在于,所述掺杂侧墙填充所述凹槽。
5.如权利要求3所述的鳍式场效应晶体管的形成方法,其特征在于,刻蚀所述隔离结构的工艺为干法刻蚀,所述干法刻蚀的刻蚀气体包括NH3和HF。
6.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,所述掺杂侧墙的高度小于所述鳍部的高度的三分之一。
7.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,在所述鳍部的侧壁周围形成掺杂侧墙的工艺包括:形成覆盖所述鳍部和所述隔离结构的掺杂侧墙材料层;回刻蚀所述掺杂侧墙材料层,在所述鳍部的侧壁周围形成掺杂侧墙。
8.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,所述掺杂侧墙的材料为氧化硅、氮化硅、硅碳氧、碳、高介电常数材料、低介电常数材料、多晶硅、非晶硅或者锗。
9.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,所述掺杂侧墙的掺杂浓度大于1018cm-3
10.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,对所述掺杂侧墙退火的温度范围为800摄氏度~1000摄氏度,退火时间大于1分钟。
11.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,还包括:在形成穿通阻挡层之后氧化部分所述鳍部,形成氧化层;去除所述氧化层;对所述鳍部进行氢气退火。
12.如权利要求1所述的鳍式场效应晶体管的形成方法,其特征在于,还包括:在所述鳍部上形成伪栅,所述伪栅覆盖部分所述鳍部的顶表面和侧壁;在所述伪栅两侧形成侧墙;在所述伪栅两侧的鳍部内形成源区和漏区;形成覆盖所述鳍部和所述隔离结构的介质层,所述介质层的顶表面与所述伪栅的顶表面齐平。
13.如权利要求12所述的鳍式场效应晶体管的形成方法,其特征在于,所述源区和漏区为嵌入式源区和漏区,所述嵌入式源区和漏区的材料为锗硅或者碳化硅。
14.如权利要求12所述的鳍式场效应晶体管的形成方法,其特征在于,还包括:在形成覆盖所述鳍部和所述隔离结构的介质层后,去除所述伪栅,形成开口,所述开口暴露部分所述鳍部表面;在所述开口内形成栅介质层;在所述栅介质层上形成金属栅极。
15.一种鳍式场效应晶体管,其特征在于,包括:
半导体衬底,所述半导体衬底表面具有凸起的鳍部;
位于所述鳍部之间的隔离结构,所述隔离结构的顶表面低于所述鳍部的顶表面;
位于所述鳍部的侧壁周围的掺杂侧墙,所述掺杂侧墙的高度小于所述鳍部的高度,所述掺杂侧墙的掺杂类型与所述鳍部的掺杂类型相同,且所述掺杂侧墙的掺杂浓度大于所述鳍部的掺杂浓度;
位于所述鳍部内的穿通阻挡层,所述穿通阻挡层与所述掺杂侧墙的位置相对应,所述穿通阻挡层的掺杂类型与所述鳍部的掺杂类型相同,且所述穿通阻挡层的掺杂浓度大于所述鳍部的掺杂浓度。
16.如权利要求15所述的鳍式场效应晶体管,其特征在于,还包括位于所述隔离结构与所述鳍部接触部分的凹槽,所述掺杂侧墙填充所述凹槽。
17.如权利要求15所述的鳍式场效应晶体管,其特征在于,所述掺杂侧墙的材料为氧化硅、氮化硅、硅碳氧、碳、高介电常数材料、低介电常数材料、多晶硅、非晶硅或者锗,所述掺杂侧墙的高度小于所述鳍部的高度的三分之一,所述掺杂侧墙的掺杂浓度大于1018cm-3
18.如权利要求15所述的鳍式场效应晶体管,其特征在于,还包括:覆盖部分所述鳍部的顶表面和侧壁的栅极结构,所述栅极结构包括栅介质层和位于栅介质层上的金属栅极;位于所述栅极结构两侧的鳍部内的源区和漏区。
19.如权利要求18所述的鳍式场效应晶体管,其特征在于,所述源区和漏区为嵌入式源区和漏区,所述嵌入式源区和漏区的材料为锗硅或者碳化硅。
20.如权利要求18所述的鳍式场效应晶体管,其特征在于,还包括覆盖所述鳍部、所述源区和漏区、所述栅极结构和所述隔离结构的介质层,所述介质层的顶表面与所述栅极结构的顶表面齐平。
CN201310064769.5A 2013-02-28 2013-02-28 鳍式场效应晶体管及其形成方法 Active CN104022037B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201310064769.5A CN104022037B (zh) 2013-02-28 2013-02-28 鳍式场效应晶体管及其形成方法
US13/940,283 US8809173B1 (en) 2013-02-28 2013-07-12 Fin field-effect transistors and fabrication method thereof
US14/327,299 US9054193B2 (en) 2013-02-28 2014-07-09 Fin field-effect transistors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310064769.5A CN104022037B (zh) 2013-02-28 2013-02-28 鳍式场效应晶体管及其形成方法

Publications (2)

Publication Number Publication Date
CN104022037A true CN104022037A (zh) 2014-09-03
CN104022037B CN104022037B (zh) 2016-08-31

Family

ID=51301634

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310064769.5A Active CN104022037B (zh) 2013-02-28 2013-02-28 鳍式场效应晶体管及其形成方法

Country Status (2)

Country Link
US (2) US8809173B1 (zh)
CN (1) CN104022037B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105304718A (zh) * 2015-11-05 2016-02-03 中国科学院微电子研究所 包括带电荷掺杂剂源层的半导体器件及其制造方法
CN105632930A (zh) * 2014-11-04 2016-06-01 中国科学院微电子研究所 一种FinFET器件及其制造方法
CN105702580A (zh) * 2014-11-24 2016-06-22 中国科学院微电子研究所 鳍式场效应晶体管及其源漏区的制造方法
CN106206729A (zh) * 2015-01-28 2016-12-07 台湾积体电路制造股份有限公司 鳍式场效应晶体管(finfet)器件结构及其形成方法
CN106328527A (zh) * 2015-06-30 2017-01-11 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN106558556A (zh) * 2015-09-29 2017-04-05 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN106558498A (zh) * 2015-09-30 2017-04-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN106571298A (zh) * 2015-10-10 2017-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107026083A (zh) * 2016-02-02 2017-08-08 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US9824943B2 (en) 2015-10-20 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
CN107958873A (zh) * 2016-10-18 2018-04-24 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN105702579B (zh) * 2014-11-24 2018-09-11 中国科学院微电子研究所 鳍上外延沟道、鳍式场效应晶体管的制造方法
CN109786331A (zh) * 2017-11-10 2019-05-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140148189A (ko) * 2013-06-21 2014-12-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9293587B2 (en) 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
US9711646B2 (en) * 2014-03-31 2017-07-18 United Microelectronics Corp. Semiconductor structure and manufacturing method for the same
US9559191B2 (en) * 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
US9312360B2 (en) * 2014-05-01 2016-04-12 International Business Machines Corporation FinFET with epitaxial source and drain regions and dielectric isolated channel region
US9368591B2 (en) * 2014-07-18 2016-06-14 Globalfoundries Inc. Transistors comprising doped region-gap-doped region structures and methods of fabrication
US9093477B1 (en) * 2014-11-09 2015-07-28 United Microelectronics Corp. Implantation processing step for a recess in finFET
US9496181B2 (en) * 2014-12-23 2016-11-15 Qualcomm Incorporated Sub-fin device isolation
KR102280238B1 (ko) 2015-01-30 2021-07-20 삼성전자주식회사 반도체 소자 제조 방법
CN106033725B (zh) * 2015-03-13 2020-10-16 联华电子股份有限公司 半导体元件及其制作工艺
US10903210B2 (en) 2015-05-05 2021-01-26 International Business Machines Corporation Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture
CN106449405B (zh) * 2015-08-12 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106486374B (zh) * 2015-08-28 2019-08-27 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9847388B2 (en) * 2015-09-01 2017-12-19 International Business Machines Corporation High thermal budget compatible punch through stop integration using doped glass
US9711533B2 (en) * 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9397002B1 (en) 2015-11-20 2016-07-19 International Business Machines Corporation Self-aligned punchthrough stop doping in bulk finFET by reflowing doped oxide
US9978748B2 (en) * 2015-12-09 2018-05-22 International Business Machines Corporation Method of cutting fins to create diffusion breaks for finFETs
KR102481427B1 (ko) 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN107591330B (zh) * 2016-07-07 2020-02-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9799736B1 (en) 2016-07-20 2017-10-24 International Business Machines Corporation High acceptor level doping in silicon germanium
US10438855B2 (en) * 2017-02-17 2019-10-08 International Business Machines Corporation Dual channel FinFETs having uniform fin heights
US10679988B2 (en) * 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US20190103474A1 (en) * 2017-10-03 2019-04-04 Globalfoundries Singapore Pte. Ltd. Sidewall engineering for enhanced device performance in advanced devices
US10636880B2 (en) * 2018-01-31 2020-04-28 Hrl Laboratories, Llc Method of fabricating electrically isolated diamond nanowires and its application for nanowire MOSFET
CN111029406A (zh) * 2019-11-14 2020-04-17 中国科学院微电子研究所 一种半导体器件及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040195624A1 (en) * 2003-04-04 2004-10-07 National Taiwan University Strained silicon fin field effect transistor
CN101577278A (zh) * 2008-05-06 2009-11-11 台湾积体电路制造股份有限公司 半导体结构及其形成方法
WO2010032174A1 (en) * 2008-09-16 2010-03-25 Nxp B.V. Fin field effect transistor (finfet)
US20100163971A1 (en) * 2008-12-31 2010-07-01 Shih-Ting Hung Dielectric Punch-Through Stoppers for Forming FinFETs Having Dual Fin Heights

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040195624A1 (en) * 2003-04-04 2004-10-07 National Taiwan University Strained silicon fin field effect transistor
CN101577278A (zh) * 2008-05-06 2009-11-11 台湾积体电路制造股份有限公司 半导体结构及其形成方法
WO2010032174A1 (en) * 2008-09-16 2010-03-25 Nxp B.V. Fin field effect transistor (finfet)
CN102217074A (zh) * 2008-09-16 2011-10-12 台湾积体电路制造股份有限公司 鳍式场效应晶体管(finfet)
US20100163971A1 (en) * 2008-12-31 2010-07-01 Shih-Ting Hung Dielectric Punch-Through Stoppers for Forming FinFETs Having Dual Fin Heights

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105632930A (zh) * 2014-11-04 2016-06-01 中国科学院微电子研究所 一种FinFET器件及其制造方法
CN105702580B (zh) * 2014-11-24 2018-09-11 中国科学院微电子研究所 鳍式场效应晶体管及其源漏区的制造方法
CN105702580A (zh) * 2014-11-24 2016-06-22 中国科学院微电子研究所 鳍式场效应晶体管及其源漏区的制造方法
CN105702579B (zh) * 2014-11-24 2018-09-11 中国科学院微电子研究所 鳍上外延沟道、鳍式场效应晶体管的制造方法
CN106206729A (zh) * 2015-01-28 2016-12-07 台湾积体电路制造股份有限公司 鳍式场效应晶体管(finfet)器件结构及其形成方法
CN106206729B (zh) * 2015-01-28 2020-01-14 台湾积体电路制造股份有限公司 鳍式场效应晶体管(finfet)器件结构及其形成方法
CN106328527A (zh) * 2015-06-30 2017-01-11 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN106328527B (zh) * 2015-06-30 2019-05-28 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN106558556A (zh) * 2015-09-29 2017-04-05 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN106558498A (zh) * 2015-09-30 2017-04-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN106558498B (zh) * 2015-09-30 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN106571298A (zh) * 2015-10-10 2017-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106571298B (zh) * 2015-10-10 2019-07-30 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9824943B2 (en) 2015-10-20 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US10269664B2 (en) 2015-10-20 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10658252B2 (en) 2015-10-20 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
CN105304718A (zh) * 2015-11-05 2016-02-03 中国科学院微电子研究所 包括带电荷掺杂剂源层的半导体器件及其制造方法
CN105304718B (zh) * 2015-11-05 2018-06-12 中国科学院微电子研究所 包括带电荷掺杂剂源层的半导体器件及其制造方法
CN107026083A (zh) * 2016-02-02 2017-08-08 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN107958873A (zh) * 2016-10-18 2018-04-24 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN107958873B (zh) * 2016-10-18 2020-11-27 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN109786331A (zh) * 2017-11-10 2019-05-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109786331B (zh) * 2017-11-10 2020-11-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
CN104022037B (zh) 2016-08-31
US20140239355A1 (en) 2014-08-28
US20140319543A1 (en) 2014-10-30
US9054193B2 (en) 2015-06-09
US8809173B1 (en) 2014-08-19

Similar Documents

Publication Publication Date Title
CN104022037A (zh) 鳍式场效应晶体管及其形成方法
US8237197B2 (en) Asymmetric channel MOSFET
US9093466B2 (en) Epitaxial extension CMOS transistor
CN103943499A (zh) 鳍式场效应晶体管的形成方法
US9741830B2 (en) Method for forming metal oxide semiconductor device
US8420490B2 (en) High-performance semiconductor device and method of manufacturing the same
US9679962B2 (en) FinFET and method of manufacturing the same
CN103928327A (zh) 鳍式场效应晶体管及其形成方法
CN103681337A (zh) 鳍式场效应晶体管及其形成方法
CN104517847A (zh) 无结晶体管及其形成方法
CN103855096A (zh) Cmos晶体管的形成方法
CN108538724B (zh) 半导体结构及其形成方法
US10707305B2 (en) Method of fabricating tunneling transistor
CN102157379B (zh) 一种半导体器件及其制造方法
CN102254824B (zh) 半导体器件及其形成方法
CN103295899A (zh) FinFET器件制造方法
CN102737996B (zh) 一种制作晶体管和半导体器件的方法
CN102693915B (zh) 一种mos晶体管的制造方法
CN114256336A (zh) 一种半导体器件及其制造方法
CN104282562A (zh) 鳍式场效应晶体管及其形成方法
CN103123899A (zh) FinFET器件制造方法
CN108630543B (zh) 半导体结构及其形成方法
CN107275211B (zh) 鳍式场效应管的形成方法
CN111384160A (zh) 场效应晶体管的制作方法、场效应晶体管及栅极结构
CN111697052B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant