CN103714189A - 用于堆叠ic设计中的跨芯片热和功率管理的***和方法 - Google Patents

用于堆叠ic设计中的跨芯片热和功率管理的***和方法 Download PDF

Info

Publication number
CN103714189A
CN103714189A CN201310046336.7A CN201310046336A CN103714189A CN 103714189 A CN103714189 A CN 103714189A CN 201310046336 A CN201310046336 A CN 201310046336A CN 103714189 A CN103714189 A CN 103714189A
Authority
CN
China
Prior art keywords
design
working time
elements
model
powertrace
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310046336.7A
Other languages
English (en)
Other versions
CN103714189B (zh
Inventor
傅宗民
沈武
黄博祥
游孟福
余基业
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103714189A publication Critical patent/CN103714189A/zh
Application granted granted Critical
Publication of CN103714189B publication Critical patent/CN103714189B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)

Abstract

一种计算机实现方法包括访问存储在有形永久机器可读介质中的3D-IC模型,在计算机处理器中输入功率曲线,基于3D-IC模型生成瞬态温度曲线,确定在相应的运行时间间隔中和3D-IC设计的多个点的相应位置上的潜在热惩罚,以及输出表示潜在热惩罚的数据。该3D-IC模型表示包括堆叠地配置的多个元件的3D-IC设计。将作为运行时间的函数的功率曲线应用于该3D-IC设计的多个元件。瞬态的温度曲线包括在3D-IC设计的多点处为运行时间的函数的温度。本发明还提供了一种用于堆叠IC设计中的跨芯片热和功率管理的***和方法。

Description

用于堆叠IC设计中的跨芯片热和功率管理的***和方法
相关申请的交叉参考
本发明要求于2012年9月28日提交的第61/707,086号美国在先专利申请的权益,该专利申请的全部公开内容通过引用结合到本文中。
技术领域
所公开的***和方法涉及的是半导体。更具体地,所公开的主题涉及的是用于建模、模拟和设计集成电路的、计算机实现的自动化工具。
背景技术
集成电路(“IC”)被结合到多种电子器件中。IC封装的发展使得多种IC可以垂直地堆叠成所谓的三维(“3D”)封装件,从而节省了印刷电路板(“PCB”)上的水平区域。在可选的封装技术中,被称为2.5D的封装件可以使用中介层,该中介层可以由半导体材料(诸如,硅)制成,从而将一个或多个管芯与衬底相耦合。异质技术的多种IC芯片被装配在中介层上。通过导电图案在中介层中对各种IC之间的连接进行布线。这些中介层和堆叠的IC技术分别被称为2.5D-IC和3D-IC。
与堆叠的IC设计相关的集成等级和封装密度的提高促使出现潜在终端和功率输出问题。例如,当在特定功率级下运行堆叠的芯片设计时,在芯片或跨芯片中会产生局部过热。该终端和功率问题降低了集成电路的可靠性且最终可能造成半导体器件退化或失效。由此,当互连宽度减小几百或几十纳米时,终端和功率管理对堆叠IC(诸如,3D-IC),包括堆叠IC上方的封装件而言都是非常重要的。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种计算机实现方法,包括:访问存储在有形永久机器可读介质中的三维集成电路(3D-IC)模型,所述模型表示用于在一条件下制造和运行的3D-IC设计,所述3D-IC设计包括:堆叠配置的多个元件;在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数并且被应用于在所述条件下运行的3D-IC设计中的所述多个元件;基于在功率输入和所述条件下运行的3D-IC设计,根据所述3D-IC模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述3D-IC设计的多点处的温度;基于所述3D-IC设计识别相应运行时间间隔和所述多点的相应位置处的潜在热惩罚;以及将表示所述3D-IC设计中的所述相应运行时间间隔和所述相应位置处的所述潜在热惩罚的数据输出。
在所述方法中:当基于所述3D-IC模型生成所述瞬态温度曲线时,所述3D-IC设计中的所述多个元件中的每个元件均表示为热阻容(RC)单元。
在所述方法中,基于所述3D-IC模型生成所述瞬态温度曲线包括:基于热阻容(RC)网络模型对所述3D-IC设计中的所述多点中的每个点执行有限元分析。
在所述方法中,进一步包括:在执行所述有限元分析之前,输入边界条件集合作为所述3D-IC设计的运行条件。
在所述方法中,进一步包括:基于表示所述3D-IC设计中的所述相应运行时间间隔和所述相应位置处的潜在热惩罚的数据,改变输入到所述计算机处理器中的作为所述运行时间的函数的所述功率曲线。
在所述方法中,改变所述功率曲线包括改变用于打开或关闭所述3D-IC设计中的所述多个元件中的至少一个元件的时间。
在所述方法中,改变所述功率曲线包括改变应用于所述3D-IC设计中的所述多个元件中的至少一个元件的功率级。
在所述方法中,改变所述功率曲线包括用于打开或关闭改变所述3D-IC设计中的所述多个元件中的至少一个元件的时间,以及改变应用于所述3D-IC设计中的所述多个元件中的至少一个元件的功率级。
在所述方法中,进一步包括:改变所述3D-IC设计,以减轻所述潜在热惩罚。
在所述方法中,进一步包括:从所述处理器向永久存储介质输出经过改变的3D-IC设计,以制造用于所述3D-IC设计的光掩模集合。
在所述方法中,通过改变所述3D-IC设计中的包括两个或多个IC芯片和中介层的所述多个元件的所述堆叠配置来改变所述3D-IC设计。
在所述方法中,通过改变所述3D-IC设计中的封装件来改变所述3D-IC设计。
根据本发明的另一方面,提供了一种计算机实现方法,包括:访问存储在有形永久机器可读介质中的三维集成电路(3D-IC)模型,所述模型表示在一条件下制造和运行的3D-IC设计,所述3D-IC设计包括:堆叠配置的多个元件;在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数并且被应用于在所述条件下运行的所述3D-IC设计中的所述多个元件;基于在功率输入和所述条件下运行的所述3D-IC设计,根据所述3D-IC模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述3D-IC设计的多点处的温度;基于所述3D-IC设计识别相应运行时间间隔和所述多点中的相应位置处的潜在热惩罚;以及基于表示所述3D-IC设计中的所述相应运行时间间隔和所述相应位置处的所述潜在热惩罚的数据,改变作为运行时间的函数的功率曲线,以减轻所述潜在热惩罚。
在所述方法中,所述瞬态温度曲线是作为非稳定状态下的所述运行时间的函数的所述功率曲线的响应。
在所述方法中,基于所述3D-IC模型生成所述瞬态温度曲线包括:输入边界条件集合作为所述3D-IC设计的运行条件;以及基于热阻容(RC)网络模型对所述3D-IC设计中的所述多点中的每个点执行有限元分析。
在所述方法中,进一步包括:改变所述3D-IC设计来减轻所述潜在热惩罚;以及从所述处理器向永久存储介质输出经过改变的3D-IC设计,以制造用于所述3D-IC设计的光掩模集合。
根据本发明的又一方面,提供了一种计算机实现***,包括:一个或多个处理器;以及至少一个有形永久机器可读介质,其通过被所述一个或多个处理器执行的一个或多个程序编码以执行以下步骤:访问存储在有形永久机器可读介质中的三维集成电路(3D-IC)模型,所述模型表示在一条件下制造和运行的3D-IC设计,所述3D-IC设计包括:堆叠配置的多个元件;在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数且被应用于在所述条件下运行的3D-IC设计中的所述多个元件;基于在功率输入和所述条件下运行的所述3D-IC设计,根据所述3D-IC模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述3D-IC设计的多点处的温度;基于所述3D-IC设计识别相应运行时间间隔和所述多点的相应位置处的潜在热惩罚;以及将表示所述3D-IC设计中的所述相应运行时间间隔中和所述相应位置处的所述潜在热惩罚的数据输出。
在所述***中,基于所述3D-IC模型生成所述瞬态温度曲线包括:输入边界条件集合作为所述3D-IC设计的运行条件;以及基于热阻容(RC)网络模型对所述3D-IC设计中的所述多点中的每个点执行有限元分析。
在所述***中,所述一个或多个程序的功能进一步包括:改变作为所述运行时间的函数的所述功率曲线,以减轻所述潜在热惩罚。
在所述***中,所述一个或多个程序的功能进一步包括:改变包括所述多个元件的所述3D-IC设计和所述3D-IC设计中的封装件,以减轻所述潜在热惩罚。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。类似的参考标号在整个说明书和附图中表示类似的部件。
图1是具有半导体中介层的2.5D IC的视图;
图2是根据一些实施例用于表征瞬态温度曲线和改变功率曲线或设计新的或改进的3D-IC的计算机实现方法的流程图;
图3是使用图2的方法建模和设计3D-IC的***的框图;
图4是流程图,示出了一些实施例中的产生温度曲线的程序;
图5是根据一些实施例通过有限元分析(FEA)建模来产生瞬态温度曲线的方法的流程图;
图6示出了热阻容(RC)单元,其表示了一些实施例中的3D-IC设计中的一个元件;
图7A和图7B示出了热RC的两个实例的视图,其表现的是使用图6的热RC单元的两种3D-IC设计;
图8A示出了一个热RC单元,其表现的是根据一些实施例的3D-IC设计中的一点;
图8B示出了通过使用图8A的热RC单元来进行FEA建模的热RC网络模型;
图9A-图9C示出了芯片1的瞬态温度曲线,其基于提供给图7A的芯片-1和芯片-2的功率曲线;
图10示出了通过增大作为3D-IC设计的顶部和底部上的边界条件的对流放热系数来进行热管理的方法;
图11A-图11D示出了使用包括热界面材料和盖的封装件来降低3D-IC设计中的芯片温度的方法;
图12A-图12C示出了通过改变3D-IC设计中的一个元件的打开或关闭时间来减轻3D-IC设计中的热惩罚的方法;
图13A-图13C示出了通过改变应用在3D-IC设计中的一个元件上的功率级来减轻3D-IC设计中的热惩罚的方法;
图14A-图14C示出了根据一些实施例通过改变3D-IC设计中的一个元件的打开或关闭时间以及通过改变应用在该元件上的功率级来减轻3D-IC设计中的热惩罚的方法。
具体实施方式
对于示例性实施例的描述旨在接合附图进行阅读,附图被认为是整个书面描述的一部分。在该说明中的相对关系术语,诸如,“下面的”、“上面的”、“水平的”、“垂直的“、“在...上面”、“在...下面”、“向上的”、“向下的”、“顶部”和“底部”以及其派生词(例如,“水平地”、“向下地”、“向上地”等)与随后所描述的或在论述过程中视图所示出的方向相关。这些相对关系术语旨在更容易地描述,并不要求部件按此特定的方向装配或操作。除非另有明确说明,否则这些涉及了连接,耦合等的术语(诸如“连接的”和“互连的”)涉及的是彼此直接固定或连接或通过中间结构间接地固定或连接的结构之间的关系,以及两者可移动或不可移动的连接或关系。
简洁起见,除非另有说明,本发明中所提及的“3D-IC”或“3D-ICs”将被理解成包括2.5D-IC(包括半导体中介层)和3D-IC(包括垂直堆叠的管芯)两者。所提及的“堆叠的IC设计”将被理解成包括任意堆叠配置中的任意3D-IC设计。所提及的“3D-IC设计”将被理解成包括3D-IC器件或3D-IC器件的部分,该3D-IC器件包括堆叠配置中的多个元件且在一些实施例中可能包括封装件。所提及的“3D-IC模型”将被理解成包括计算机模型,其表现为3D-IC设计且被存储在有形的永久的机器(例如,计算机)可读介质中。
所提及的3D-IC设计中“元件”或“多个元件”将被理解成包括3D-IC设计中的任意部分,该3D-IC设计包括但并不限于半导体芯片、IC、管芯和中介层。所提及的“封装件”将被理解成包括应用在3D-IC设计元件的上方或上面的任意材料或部分,其包括但并不限于热界面材料和盖。所提及的3D-IC设计的“点”或“多个点”将被理解成包括3D-IC设计中的元件或封装件的任意部分,其包括但并不限于被划分用于FEA建模的部分或基础单元。
例如,图1示出了2.5维(“2.5D”)IC封装件100的一个实例,其中第一和第二IC芯片102,104与中介层108相耦合,该中介层转而装配在封装件衬底106上。IC芯片102,104可以使用小导电凸块110与中介层108相接合,这些凸块也被称为“微凸块”或“μ凸块”。中介层108通过导电凸块111与封装件衬底106相接合。连接IC芯片102,104和中介层108的微凸块110可以具有与连接中介层108和PCB106的凸块111不同的尺寸和电性能。
中介层108包括半导体衬底116,该半导体衬底具有分别形成在其正主面和背主面上的正面和背面互连层112,114。在一些应用中,衬底116不接地并且由此是电浮置的。正面和背面互连层112,114分别可以包括多个金属间介电(IMD)层,其包括通孔级层(V1,V2等)以及金属线级层(例如,M1,M2等)。
如图1所示,正面互连层112可以包括设置在第一金属层(即,M1)中的导体118,130和设置在第二金属层(即,M2)中的导体132。正面互连层112中的导体118可以与背面互连层114中的导体122通过硅通孔(“TSV”)124相互电连接,该硅通孔从半导体衬底116的正面表面126延伸至半导体衬底116的背面表面128。正面和背面互连层112,114也可以包括导体130,132,134,它们彼此并不互相连接。
在此所描述的实施例提供了用于堆叠的IC设计(诸如,3D-IC设计)中的热和功率管理的计算机实现方法和***。该方法和***表征和提供了一个温度曲线,该温度曲线示出了在特定的条件(诸如,应用在3D-IC元件上的功率曲线)下运行3D-IC设计时,该3D-IC设计中的多个点中的每个点上的温度或多个元件中的每个元件处的温度。可以在稳定状态或瞬态状态下获得温度曲线。在产生温度曲线的过程中要考虑3D-IC的所有芯片和其他元件。可以基于温度曲线来确定潜在“热惩罚(thermal violation)”。本发明中所提及的“热惩罚”将被理解成包括在运行时间间隔中,3D-IC设计的特定点上的温度高于预先确定的安全运行值的情况。然后可以相应地减轻潜在热惩罚。例如,可以通过改变作为运行时间的函数的功率曲线来降低运行过程中的3D-IC温度从而减轻热惩罚。在一些实施例中功率曲线并不是不变的。使用的是动态的功率曲线。可选地,可以通过以下方式来减轻潜在热惩罚,即,改变3D-IC设计从而实现一种在运行过程中在给定的功率曲线下提供更低的温度且避免了潜在热惩罚的3D-IC设计。
图2是计算机实现的方法200的流程图,根据一些实施例该方法用于表征瞬态温度曲线以及改变功率曲线或设计新的或改进的3D-IC。在步骤202中,计算机处理器访问存储在有形的永久可机读介质中的3D-IC模型。模型表现的是在特定条件下制造和运行的3D-IC设计。该3D-IC设计包括多个元件,诸如,堆叠配置中的半导体芯片和中介层。在一些实施例中,该3D-IC设计包括封装件。封装件的实例包括但并不限于热界面材料和盖。例如,电路设计可以包括至少两个硅基芯片,一个中介层和多个导电凸块,他们被三维地封装在衬底上。该模型还可以是利用TSV互连的3D结构的单芯片。该3D-IC模型可以包括任意包括多个处在单个封装件中的管芯的电路设计。
可以在步骤202之前在计算机处理器上产生该3D-IC模型。参考图3,例如,可以使用电子设计自动化(EDA)工具302在***300中产生3D-IC模型。适合的EDA工具实例包括但并不限于Agilent of Santa Clara,CA销售的“ADVANCED DESIGN SYSTEM(ADS)”TM。可以使用其他EDA工具302,诸如Cadence Design Systems,Inc.of San Jose,CA销售的
Figure BDA00002821917300081
电路模拟器;以及Synopsys,Inc.of Mountain View,CA销售的“IC COMPILER”TM。为了设计、制造和检测电路中的至少一个,处理器301被编程为运行工具来对电路进行分析从而确定电路对输入RF信号的反应。在其他实施例中,可以在专用硬件(包括,例如,专用集成电路)中实施EDA工具。
EDA工具302是通过从瞬态计算机可读介质312中检索出所存储的程序指令304且在通用处理器301上执行该指令来形成的专用计算机。持久的永久计算机可读存储介质312包括但不限于只读存储器(“ROM“),随机存储器(“RAM”),闪存或类似的。RAM的实例包括但不限于静态RAM(“SRAM”)和动态RAM(“DRAM”)。ROM包括但不限于可编程ROM(“PROM”),电可编程ROM(“EPROM”)以及电可擦除可编程ROM(“EEPROM”),以上旨在列出一些可能性。有形的永久机器可读存储介质312被配置成存储EDA工具302所使用的输入和IC设计数据的布局。该输入可以包括IC设计信息。该输入可以包括设计规则306和技术文档308。通过3D-IC模拟器310可以在有形的永久机器可读介质312中产生,存储和输出3D-IC模型。
在步骤202中,如图3所示,可以从相同的计算机处理器301或不同的计算机处理器312中访问3D-IC。在一些实施例中,计算机处理器301和321可以是一个计算机处理器。包括堆叠配置和封装件的输入324被提供给图3的计算机处理器312中的热模拟器322。
重新参考图2,在步骤204中将功率曲线输入到计算机处理器,例如,图3的处理器321中。作为运行时间的函数的功率曲线326应用于在特定条件下运行的3D-IC设计中的多个元件。例如,功率曲线326可以包括作为运行时间的函数的,表现出应用于3D-IC设计中的每个芯片的功率级的各个曲线。
在步骤206中,基于EDA工具302所产生的3D-IC模型,图3的计算机处理器321中产生了瞬态温度曲线334。基于在所述功率输入和运行条件下进行运行的3D-IC设计,这种瞬态温度曲线334包括3D-IC设计的多个点处的作为运行时间的函数的温度。作为非稳定状态下的运行时间的函数,瞬态温度曲线334可以是功率曲线的反映。在一些实施例中,基于3D-IC模型产生瞬态温度曲线334包括:输入一组边界条件来作为3D-IC设计的运行条件;以及在热阻容(RC)网络模型的基础上在3D-IC设计中的多个点中的每个点上执行有限元分析。
图4是流程图,示出了在一些实施例中产生温度曲线的程序图。如图3和图4所示,包括IC设计314的布局、堆叠配置和封装件输入324的3D-IC设计数据以及功率曲线326被提供给计算机处理器321中的热模拟器322。基于RC网络模型进行模拟从而产生温度曲线334。例如,图5示出了根据一些实施例通过有限元分析(FEA)建模产生瞬态温度曲线334的方法。
在一些实施例中,当产生了以3D-IC模型为基础的瞬态温度曲线时,3D-IC设计中的多个元件中的每个元件均表现为热RC单元。在一些实施例中,基于3D-IC模型产生瞬态温度曲线包括基于热阻容(RC)网络模型在3D-IC设计中的多个点中的每个点上进行有限元分析。在一些实施例中,该方法进一步包括在进行有限元分析之前输入一组边界条件作为3D-IC设计的运行条件。
在一些实施例中,模拟基于热RC网络模型。图6-图7B和图8A-图8B分别示出了3D-IC设计中的元件级和3D-IC设计中的点级中所使用的建模方法。
图6示出了在一些实施例中表示3D-IC设计中的一个元件的热阻容(RC)单元。通过由两个元件,热电阻(R)和热电容(C),所构成的单元来描述3D-IC设计的每个元件。随后可以使用与电路类似的虚拟的“热电路”来表现3D-IC设计。图7A和图7B示出了热RC图表的两个实例,表现出使用图6的热RC单元的两种类型的3D-IC设计。
在图6的每个热RC单元中,可以用以下方程式来描述热电阻(Rth,单位K/W)和热电容或热容量(Cth,单位J/K):
R th = L k · A
Cth=ρ·c·v
其中,
L(单位m)是表现为RC单元的3D-IC设计的元件的长度;
A是横截面(单位m2);
k是元件材料的导热率(单位W/K.m);
p是材料的密度(单位Kg/m3);
c是比热(单位J.Kg-1.K-1);以及
v是元件体积(m3)。
基于RC模型,可以使用以下方程式计算出元件的瞬态温度:
Z th ( t ) = Σ i = 1 n r i × ( 1 - e - t τ i )
Zth(t)=delta(T)/P
Tj(t)=P(t)×Zth(t)+Tambient(t)
其中,
t是运行时间(s);
Zth(t)是函数,被限定为由功率P所划分的温度差;
delta(T)是特定运行时间t下的元件温度Tj(t)和时间t下的环境温度T环境之间的差;
τi是热时间常数等于热R-C乘以(R*C);以及
ri是运行时间下的热阻抗。
在图7A和图7B中,类似的术语以相似的参考标号示出,简明起见,不再重复以上参考图1提供的结构描述。如图7A和图7B所示,元件(诸如,芯片102(芯片-1)和104(芯片-2)),衬底106和中介层108分别在图6的RC单元中有所描绘。
在一些实施例中,基于以上描述的原则来使用FEA建模方法。图8A示出了根据一些实施例的表示了3D-IC设置中的一个点的热RC单元。图8B示出了通过使用图8A的热RC单元进行FEA建模的热RC网络模型。将图8A的RC单元三维互连从而形成了图8B所示的网络。这种网络表现出了三维的3D-IC设计。
可以根据图5所示的流程图来执行示例性的FEA建模。在步骤205中,将边界条件输入到计算机处理器321中的热模拟器322中。该边界条件与特定的运行条件的下限或上限相关。该边界条件的实例包括但不限于运行过程中的环境温度和3D-IC设计上的元件或封装件的对流系数。
在步骤207中,如所述那样设置热阻容(RC模型)330。在步骤209中,执行FEA建模从而产生瞬态温度曲线334。例如,图9A和9B分别示出了提供给图7A的芯片1和芯片2的功率曲线。图9C示出了基于图9A和图9B中的功率曲线的芯片1的瞬态温度曲线。也可以使用以下方程式来计算瞬态的温度曲线334:
T1=T0+(ΔP1×R-T0)×(1-e-time/τ)
Tn=T0+(ΔPn×R-T0)×(1-e-n×ttme/τ)
(Tthreshold-P×R)e-time/τ+P×R=TSOA
其中,
Tn是在3D-IC设计的运行时间间隔中在特定的点n下计算出的温度;而Tthreshod和TSOA被限定为所允许的阈值温度和可允许的作为安全运行(SOA)标准的温度。
重新参考图2,在步骤208中,在相应的运行时间间隔中且在基于3D-IC设计的多个点的相应位置上确定潜在热惩罚。在步骤210中,表示了潜在热惩罚的数据被输出至有形的永久机器可读存储介质332和显示器336。例如,介质332可以是CD-ROM,而显示器336可以是显示数据的监视器。
图10和图11A-11D示出了在不同边界条件下确定热惩罚的实例和使用封装件来减轻热惩罚的实例。在图10中,图11A的3D-IC设计的顶部和底部的对流系数被用作为边界条件。当对流系数变大时,瞬态温度明显降低。可以使用任意所需的方法(诸如,使用冷却材料或装置以及改变使用在3D-IC设计中的材料或其周围环境)来增大对流系数。
图11A-图11D示出了使用包括热界面材料150和盖160的封装件来降低3D-IC设计中的芯片温度的方法。图11B和图11D分别示出了图11A和图11C的3D-IC设计上的芯片104的俯视图。图11A和图11B示出了在不使用封装件的条件下3D-IC设计的温度曲线的实例。芯片中间的最高温度高达103℃。在比较下,当应用了包括热界面材料150和盖160的封装件并且在相同的条件下运行时,图11C和图11D示出了图11A的相同3D-IC设计的温度曲线实例。在相同的运行条件下的温度降低至90℃。这些结果提供了一种通过热管理,通过增大芯片周围的环境或材料的对流系数以及通过使用适合的封装件来降低芯片温度和减小瞬态时间的方法。
重新参考图2,在步骤212中,在一些实施例中方法200进一步包括以表现出在相应的运行时间间隔中以及在3D-IC设计中的相应位置上的潜在热惩罚的数据为基础,改变作为输入到计算机处理器321的运行时间的函数的功率曲线。改变该功率曲线从而减轻潜在热惩罚。在一些实施例中,通过改变3D-IC设计中的多个元件中的至少一个元件的打开或关闭时间来改变功率曲线。在一些实施例中,通过改变应用在3D-IC设计中的多个元件的至少一个元件上的功率级来改变功率曲线。在一些其他实施例中,可以使用这两种方法,包括改变多个元件中的至少一个元件的打开或关闭时间和改变应用在3D-IC设计中的多个元件中的至少一个元件上的功率级。
图12A-12C示出了通过改变3D-IC设计中的一个元件的打开或关闭时间来减轻潜在热惩罚的方法。图13A-图13C示出了通过改变应用在3D-IC设计中的一个元件上的功率级来减轻潜在热惩罚的方法。图14A-图14C示出了根据一些实施例通过改变3D-IC设计中的一个元件的打开或关闭时间和改变应用在该元件上的功率级来减轻潜在热惩罚的方法。在这些实例中,示出了图7A的芯片1的温度曲线。安全运行的预先确定的温度值为110℃。因此,当3D-IC设计在特定条件下运行时,潜在热惩罚被限定为:3D-IC设计的FEA建模预测出的3D-IC设计中的元件温度超过预先确定的温度值110℃。然后,如图12C,图13C和图14C所示的那样改变功率曲线从而消除潜在热惩罚。在运行过程中或为了设计3D-IC可以动态地改变功率曲线。
重新参考图2,在步骤214中,在一些实施例中本发明中的方法任选地包括改变3D-IC设计来减轻潜在热惩罚。在步骤216中,从处理器将改变了的3D-IC设计输出给永久存储介质,诸如,332或336。然后,可以从永久存储介质中访问该改进的3D-IC设计数据从而制造一组用于改进的3D-IC设计的光刻掩模。可以通过改变3D-IC设计中的包括有两个或多个IC芯片和中介层的多个元件的堆叠配置或改变3D-IC设计中的封装件来改变该3D-IC设计。
本发明还提供了一种计算机实现***,其包括:一个或多个处理器301和302;以及至少一个被一个或多个程序编码的有形的永久机器可读介质。该一个或多个程序可以由一个或多个处理器执行从而执行上述步骤。
本发明提供了一种计算机实现的方法和一种堆叠IC设计中的热和功率管理***。该计算机实现的方法包括访问存储在有形的永久机器可读介质中的三维集成电路(3D-IC)模型。该模型表示了在某种条件下制造和运行的3D-IC设计。该3D-IC设计包括多个元件,诸如,设置为堆叠配置中的半导体芯片和中介层。该方法进一步包括在计算机处理器中输入功率曲线。该作为运行时间函数的功率曲线被应用于在该条件下运行的3D-IC设计的多个元件。该方法进一步包括基于3D-IC模型在计算机处理器中产生瞬态温度曲线。基于在功率输入和该条件下运行的3D-IC设计,这种瞬态温度曲线包括在3D-IC设计的多个点处的、作为运行时间的函数的温度。该方法进一步包括确定在相应的运行时间间隔中,在基于3D-IC设计的多个点的相应位置上的潜在热惩罚以及输出表现出在相应的运行时间间隔中,3D-IC设计的相应的位置上的潜在热惩罚的数据。
在一些实施例中,当基于3D-IC模型产生瞬态温度曲线时,3D-IC设计中的多个元件中的每个元件均表示一个热RC单元。在一些实施例中,基于3D-IC模型产生瞬态温度曲线包括基于热RC网络模型在3D-IC设计中的多个点的每个点上进行有限元分析。在一些实施例中,该方法进一步包括在进行有限元分析之前输入一组边界条件作为3D-IC设计运行的条件。
在一些实施例中,该方法进一步包括基于表现出相应的运行时间间隔中,3D-IC设计中的相应的位置上的潜在热惩罚的数据来改变作为输入到计算机处理器中的运行时间的函数的功率曲线。
改变功率曲线从而减轻潜在热惩罚。在一些实施例中,改变功率曲线包括改变3D-IC设计中的多个元件中的至少一个元件的打开或关闭时间。在一些实施例中,改变功率曲线包括改变应用在3D-IC设计中多个元件中的至少一个元件上的功率级。在一些实施例中,改变功率曲线包括改变3D-IC设计中的多个元件中的至少一个元件的打开或关闭时间以及改变应用在3D-IC设计中多个元件中的至少一个元件上的功率级。
在一些实施例中,一种计算机实现的方法包括访问存储在有形的永久机器可读介质中的3D-IC模型;在计算机处理器中输入功率曲线;基于3D-IC模型在计算机处理器中产生瞬态温度曲线;确定在相应的运行时间间隔中,基于3D-IC设计的多个点的相应位置上的潜在热惩罚;以及基于表现出在相应的运行时间间隔中,3D-IC设计的相应的位置上的潜在热惩罚的数据改变作为运行时间的函数的功率曲线从而减轻潜在热惩罚。
基于在功率输入和条件下运行的3D-IC设计,瞬态温度曲线包括在3D-IC设计的多个点上的作为运行时间的函数的温度。作为非稳定状态下的运行时间的函数的瞬态温度曲线可以是功率曲线的反映。
在一些实施例中,基于3D-IC模型产生瞬态温度曲线包括:输入边界条件集合作为3D-IC设计的运行条件;以及基于热RC网络模型对3D-IC设计中的多个点中的每个点执行有限元分析。该方法可以进一步包括改变3D-IC设计来减轻潜在温度破坏以及从处理器中向永久存储介质输出改变后的3D-IC设计从而制造一组用于3D-IC设计的光刻掩模。
本发明还提供了一种计算机实现的***,包括:一个或多个处理器;以及至少一个由一个或多个程序编码的、有形的永久机器可读介质。该一个或多个程序可以由一个或多个处理器执行从而执行访问存储在有形的永久机器可读介质中的3D-IC模型以及在计算机处理器中输出功率曲线的步骤。作为运行时间的函数的功率曲线被应用于在该条件下运行的3D-IC设计中的多个元件。
一个或多个程序的功能进一步包括基于3D-IC模型在计算机处理器中产生瞬态温度曲线。基于在该功率输入和条件下运行的3D-IC设计,该瞬态温度曲线包括作为运行时间的函数的3D-IC设计的多点处的温度。一个或多个程序的功能进一步包括确定在相应的运行时间间隔中,基于3D-IC设计的多点的相应位置上的潜在热惩罚;以及输出表现出在相应的运行时间间隔中,3D-IC设计中相应的位置上的潜在热惩罚的数据。
在一些实施例中,基于3D-IC模型产生瞬态温度曲线包括:输入一组边界条件作为3D-IC设计的运行条件;以及基于热RC网络模型对3D-IC设计中的多个点中的每个点执行有限元分析。在一些实施例中,一个或多个程序的功能包括:改变作为运行时间的函数的功率曲线从而减轻潜在热惩罚。在一些其他实施例中,一个或多个程序的功能进一步包括改变包括有多个元件和一个封装件的3D-IC设计从而减轻潜在热惩罚。
在此所述的方法和***至少部分地实施成计算机实现的工艺和用于实行那些工艺的装置。所公开的方法也可以至少部分地实施成由计算机程序码编码的有形的永久机器可读介质。该介质可以包括例如,RAM、ROM、CD-ROM、DVD-ROM、BD-ROM、硬盘驱动装置、闪存或任意其他永久机器可读介质,或这些介质的任意组合,其中,当计算机程序码装载到计算机中且由其执行时,计算机变成了实行该方法的装置。该方法还可以至少部分地实施成一种计算机,在其中装载和/或执行计算机程序,从而使得该计算机编程实行该方法的装置。当实施在通用处理器上时,计算机程序码区段配置处理器来产生专用逻辑电路。该方法可以可选地至少部分地实施成数字信号处理器,它由用于执行这些方法的专用集成电路形成。
尽管本发明的主题借助示例性的实施例进行描述但它并不局限于此。应该更为广泛地解释所附的权利要求,其包括本领域的技术人员可实现的其他变型的实施例。

Claims (10)

1.一种计算机实现方法,包括:
访问存储在有形永久机器可读介质中的三维集成电路(3D-IC)模型,所述模型表示用于在一条件下制造和运行的3D-IC设计,所述3D-IC设计包括:
堆叠配置的多个元件;
在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数并且被应用于在所述条件下运行的3D-IC设计中的所述多个元件;
基于在功率输入和所述条件下运行的3D-IC设计,根据所述3D-IC模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述3D-IC设计的多点处的温度;
基于所述3D-IC设计识别相应运行时间间隔和所述多点的相应位置处的潜在热惩罚;以及
将表示所述3D-IC设计中的所述相应运行时间间隔和所述相应位置处的所述潜在热惩罚的数据输出。
2.根据权利要求1所述的方法,其中:
当基于所述3D-IC模型生成所述瞬态温度曲线时,所述3D-IC设计中的所述多个元件中的每个元件均表示为热阻容(RC)单元。
3.根据权利要求1所述的方法,其中,基于所述3D-IC模型生成所述瞬态温度曲线包括:
基于热阻容(RC)网络模型对所述3D-IC设计中的所述多点中的每个点执行有限元分析。
4.根据权利要求3所述的方法,进一步包括:
在执行所述有限元分析之前,输入边界条件集合作为所述3D-IC设计的运行条件。
5.根据权利要求1所述的方法,进一步包括:
基于表示所述3D-IC设计中的所述相应运行时间间隔和所述相应位置处的潜在热惩罚的数据,改变输入到所述计算机处理器中的作为所述运行时间的函数的所述功率曲线。
6.根据权利要求5所述的方法,其中,改变所述功率曲线包括改变用于打开或关闭所述3D-IC设计中的所述多个元件中的至少一个元件的时间。
7.根据权利要求5所述的方法,其中,改变所述功率曲线包括改变应用于所述3D-IC设计中的所述多个元件中的至少一个元件的功率级。
8.根据权利要求5所述的方法,其中,改变所述功率曲线包括用于打开或关闭改变所述3D-IC设计中的所述多个元件中的至少一个元件的时间,以及改变应用于所述3D-IC设计中的所述多个元件中的至少一个元件的功率级。
9.一种计算机实现方法,包括:
访问存储在有形永久机器可读介质中的三维集成电路(3D-IC)模型,所述模型表示在一条件下制造和运行的3D-IC设计,所述3D-IC设计包括:
堆叠配置的多个元件;
在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数并且被应用于在所述条件下运行的所述3D-IC设计中的所述多个元件;
基于在功率输入和所述条件下运行的所述3D-IC设计,根据所述3D-IC模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述3D-IC设计的多点处的温度;
基于所述3D-IC设计识别相应运行时间间隔和所述多点中的相应位置处的潜在热惩罚;以及
基于表示所述3D-IC设计中的所述相应运行时间间隔和所述相应位置处的所述潜在热惩罚的数据,改变作为运行时间的函数的功率曲线,以减轻所述潜在热惩罚。
10.一种计算机实现***,包括:
一个或多个处理器;以及
至少一个有形永久机器可读介质,其通过被所述一个或多个处理器执行的一个或多个程序编码以执行以下步骤:
访问存储在有形永久机器可读介质中的三维集成电路(3D-IC)模型,所述模型表示在一条件下制造和运行的3D-IC设计,所述3D-IC设计包括:
堆叠配置的多个元件;
在计算机处理器中输入功率曲线,所述功率曲线是运行时间的函数且被应用于在所述条件下运行的3D-IC设计中的所述多个元件;
基于在功率输入和所述条件下运行的所述3D-IC设计,根据所述3D-IC模型在所述计算机处理器中生成瞬态温度曲线,所述瞬态温度曲线包括作为运行时间的函数的所述3D-IC设计的多点处的温度;
基于所述3D-IC设计识别相应运行时间间隔和所述多点的相应位置处的潜在热惩罚;以及
将表示所述3D-IC设计中的所述相应运行时间间隔中和所述相应位置处的所述潜在热惩罚的数据输出。
CN201310046336.7A 2012-09-28 2013-02-05 用于堆叠ic设计中的跨芯片热和功率管理的***和方法 Active CN103714189B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261707086P 2012-09-28 2012-09-28
US61/707,086 2012-09-28
US13/683,901 US8701073B1 (en) 2012-09-28 2012-11-21 System and method for across-chip thermal and power management in stacked IC designs
US13/683,901 2012-11-21

Publications (2)

Publication Number Publication Date
CN103714189A true CN103714189A (zh) 2014-04-09
CN103714189B CN103714189B (zh) 2017-04-12

Family

ID=50386536

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310046336.7A Active CN103714189B (zh) 2012-09-28 2013-02-05 用于堆叠ic设计中的跨芯片热和功率管理的***和方法

Country Status (2)

Country Link
US (1) US8701073B1 (zh)
CN (1) CN103714189B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022041198A1 (zh) * 2020-08-31 2022-03-03 深圳大学 一种三维堆叠存储芯片的温度变化计算方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102004852B1 (ko) * 2012-11-15 2019-07-29 삼성전자 주식회사 컴퓨팅 시스템을 이용한 반도체 패키지 디자인 시스템 및 방법, 상기 시스템을 포함하는 반도체 패키지 제조 장치, 상기 방법으로 디자인된 반도체 패키지
US8984463B2 (en) 2012-11-28 2015-03-17 Qualcomm Incorporated Data transfer across power domains
US9064077B2 (en) * 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US9424127B2 (en) * 2013-02-01 2016-08-23 Broadcom Corporation Charger detection and optimization prior to host control
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9171608B2 (en) 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
US9269640B2 (en) 2013-10-31 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing monolithic stacked integrated circuits with a redundant layer and lithography process
US9213797B2 (en) * 2013-11-15 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method, system and computer program product for designing semiconductor device
US9773754B2 (en) 2014-12-05 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Input output for an integrated circuit
US9740804B2 (en) * 2014-11-03 2017-08-22 Mentor Graphics Corporation Chip-scale electrothermal analysis
US10127343B2 (en) * 2014-12-11 2018-11-13 Mentor Graphics Corporation Circuit design layout in multiple synchronous representations
US10283171B2 (en) 2015-03-30 2019-05-07 Taiwan Semicondutor Manufacturing Company, Ltd. Stacked die semiconductor device with separate bit line and bit line bar interconnect structures
US10379156B2 (en) 2015-05-29 2019-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bump ball testing system and method
US9627411B2 (en) 2015-06-05 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional transistor and methods of manufacturing thereof
US9672319B1 (en) * 2015-06-29 2017-06-06 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs with a pseudo-3D analysis mechanism
US10224310B2 (en) 2015-10-29 2019-03-05 Qualcomm Incorporated Hybrid three-dimensional integrated circuit reconfigurable thermal aware and dynamic power gating interconnect architecture
US10204205B2 (en) 2016-01-07 2019-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of determining colorability of a semiconductor device and system for implementing the same
US10037897B2 (en) 2016-11-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inter-fan-out wafer level packaging with coaxial TIV for 3D IC low-noise packaging
US10930603B2 (en) 2016-03-22 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Coaxial through via with novel high isolation cross coupling method for 3D integrated circuits
US10043745B2 (en) 2016-04-01 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package devices integrated with inductor
US9905471B2 (en) 2016-04-28 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method forming trenches with different depths
US10222412B2 (en) 2016-06-01 2019-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. IC degradation management circuit, system and method
US10539617B2 (en) 2016-06-02 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Scan architecture for interconnect testing in 3D integrated circuits
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
EP3291048A1 (en) * 2016-09-05 2018-03-07 Intel IP Corporation Method and device for thermal management control of an electronic device
US10163690B2 (en) 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
US10915674B2 (en) * 2017-03-14 2021-02-09 International Business Machines Corporation Autonomous development of two-phase cooling architecture
TWI689724B (zh) * 2017-12-15 2020-04-01 財團法人工業技術研究院 晶片溫度計算方法及晶片溫度計算裝置
US10957365B2 (en) * 2018-08-31 2021-03-23 Micron Technology, Inc. Setting local power domain timeout via temperature sensor systems and methods
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US10861808B2 (en) 2018-11-21 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure of dies with dangling bonds
US10803548B2 (en) * 2019-03-15 2020-10-13 Intel Corporation Disaggregation of SOC architecture
US11139270B2 (en) 2019-03-18 2021-10-05 Kepler Computing Inc. Artificial intelligence processor with three-dimensional stacked memory
US11836102B1 (en) 2019-03-20 2023-12-05 Kepler Computing Inc. Low latency and high bandwidth artificial intelligence processor
US11844223B1 (en) 2019-05-31 2023-12-12 Kepler Computing Inc. Ferroelectric memory chiplet as unified memory in a multi-dimensional packaging
US11043472B1 (en) 2019-05-31 2021-06-22 Kepler Compute Inc. 3D integrated ultra high-bandwidth memory
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11791233B1 (en) 2021-08-06 2023-10-17 Kepler Computing Inc. Ferroelectric or paraelectric memory and logic chiplet with thermal management in a multi-dimensional packaging
CN117074925B (zh) * 2023-10-16 2023-12-29 中诚华隆计算机技术有限公司 一种3d芯片测试分析方法和***

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110213A (en) * 1997-11-06 2000-08-29 Vlt Coporation Fabrication rules based automated design and manufacturing system and method
US20080026493A1 (en) * 2006-04-12 2008-01-31 Ali Shakouri Efficient method to predict integrated circuit temperature and power maps
US20090019411A1 (en) * 2005-12-17 2009-01-15 Rajit Chandra Thermally Aware Design Modification
US20100257495A1 (en) * 2009-04-06 2010-10-07 Chan-Liang Wu 3D-IC Verification Method
CN101957876A (zh) * 2010-09-15 2011-01-26 清华大学 考虑通孔的基于不均匀网格的多层布线方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW446995B (en) * 1998-05-11 2001-07-21 Semitool Inc Temperature control system for a thermal reactor
US7472363B1 (en) * 2004-01-28 2008-12-30 Gradient Design Automation Inc. Semiconductor chip design having thermal awareness across multiple sub-system domains
US8019580B1 (en) * 2007-04-12 2011-09-13 Gradient Design Automation Inc. Transient thermal analysis
US7366997B1 (en) * 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
US20080072182A1 (en) * 2006-09-19 2008-03-20 The Regents Of The University Of California Structured and parameterized model order reduction

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110213A (en) * 1997-11-06 2000-08-29 Vlt Coporation Fabrication rules based automated design and manufacturing system and method
US20090019411A1 (en) * 2005-12-17 2009-01-15 Rajit Chandra Thermally Aware Design Modification
US20080026493A1 (en) * 2006-04-12 2008-01-31 Ali Shakouri Efficient method to predict integrated circuit temperature and power maps
US20100257495A1 (en) * 2009-04-06 2010-10-07 Chan-Liang Wu 3D-IC Verification Method
CN101957876A (zh) * 2010-09-15 2011-01-26 清华大学 考虑通孔的基于不均匀网格的多层布线方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022041198A1 (zh) * 2020-08-31 2022-03-03 深圳大学 一种三维堆叠存储芯片的温度变化计算方法

Also Published As

Publication number Publication date
CN103714189B (zh) 2017-04-12
US20140096102A1 (en) 2014-04-03
US8701073B1 (en) 2014-04-15

Similar Documents

Publication Publication Date Title
CN103714189A (zh) 用于堆叠ic设计中的跨芯片热和功率管理的***和方法
CN103544333B (zh) 半导体器件设计方法、***和计算机程序产品
US8103996B2 (en) Method and apparatus for thermal analysis of through-silicon via (TSV)
US8631372B2 (en) System and method of electromigration mitigation in stacked IC designs
US8856710B2 (en) Tool and method for modeling interposer RC couplings
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
Liu et al. Compact lateral thermal resistance model of TSVs for fast finite-difference based thermal analysis of 3-D stacked ICs
US10621300B2 (en) Computing system for performing colorless routing for quadruple patterning lithography
CN114896920B (zh) 一种三维堆叠芯片热仿真模型建立及热点温度预测方法
CN106294922A (zh) 使用热势理论模型化集成电路芯片上的局部温度变化
US20090164183A1 (en) Methodology for Thermal Modeling of On-Chip Interconnects Based on Electromagnetic Simulation Tools
US20090222783A1 (en) Integrated circuit (ic) design method, system and program product
US8797054B2 (en) Thermal and stress gradient based RC extraction, timing and power analysis
ter Maten et al. Nanoelectronic COupled problems solutions-nanoCOPS: modelling, multirate, model order reduction, uncertainty quantification, fast fault simulation
TWI718245B (zh) 積體電路、製造其的電腦實施方法以及定義其的標準元件
JP2004326654A (ja) 配線構造決定方法
CN116542205A (zh) 电脑可读取记录介质及其提取三维芯片的寄生参数的方法
Refai-Ahmed et al. Electronic PCB and PKG thermal stress analysis
Fourmigue et al. A linear-time approach for the transient thermal simulation of liquid-cooled 3D ICs
KR101779648B1 (ko) 메모리 mux1 레이아웃 내에 다중층 핀들을 갖는 디바이스
Oprins et al. Thermal modeling and model validation for 3D stacked ICs
Kahng et al. Power delivery pathfinding for emerging die-to-wafer integration technology
JP2004086318A (ja) シミュレーション用等価回路モデル生成装置、回路シミュレーションシステム、シミュレーション用等価回路モデル生成方法、制御プログラムおよび可読記録媒体
Meitei et al. Fast power density aware three‐dimensional integrated circuit floorplanning for hard macroblocks using best operator combination genetic algorithm
US10509888B1 (en) System and method for forming integrated device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant