CN103154854A - 用于优化一组性能缩放算法的配置的***和方法 - Google Patents

用于优化一组性能缩放算法的配置的***和方法 Download PDF

Info

Publication number
CN103154854A
CN103154854A CN2011800499387A CN201180049938A CN103154854A CN 103154854 A CN103154854 A CN 103154854A CN 2011800499387 A CN2011800499387 A CN 2011800499387A CN 201180049938 A CN201180049938 A CN 201180049938A CN 103154854 A CN103154854 A CN 103154854A
Authority
CN
China
Prior art keywords
group
mobile device
performance
operating position
convergent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800499387A
Other languages
English (en)
Inventor
布赖恩·J·萨尔斯贝里
诺曼·S·加尔加石
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN103154854A publication Critical patent/CN103154854A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Stored Programmes (AREA)
  • Mobile Radio Communication Systems (AREA)
  • Power Sources (AREA)

Abstract

本发明揭示用于优化指定用于在移动装置上操作的性能缩放算法的***和方法。***存储器除测试逻辑之外还包含程序存储装置、使用情况存储装置和结果存储装置。所述程序存储装置含有由性能缩放算法与一组参数的组合界定的一组程序。所述使用情况存储装置含有识别随时间过去待由所述移动装置的最终用户执行的预期任务的信息。所述结果存储装置组织在已针对每一使用情况界定的任务执行了所述组程序中的每一者之后确定的相应优值。当被执行时,所述测试逻辑响应于所述所存储的优值而调整所述移动装置,并关联用于所述使用情况中的每一者的选定程序。将所述优值确定为性能度量与电力度量的函数。

Description

用于优化一组性能缩放算法的配置的***和方法
技术领域
背景技术
无线通信协议、能量储存密度、处理器性能和效率的技术进步,以及蜂窝式发射器的密度的增加在19世纪90年代曾实现了无线数字网络的引入。随着第二代移动装置的使用变得更广泛,很明显,对包含对因特网的接入权的数据服务的需求正在增长。与第三代无线通信***的数据发射的包交换而不是电路交换的引入实现了将流式媒体递送到移动装置所需的数据发射速率的增加。
如今的具有数据网络能力的移动装置包含高性能处理器,以将种类繁多的应用程序提供给最终用户。然而,对性能的需求导致电力消耗的对应增加。现代处理器中的电力耗散正随着给定实施方案所需的时钟频率和晶体管数目增加而快速增加。遗憾的是,电池技术尚未跟上这些具有数据网络能力的无线装置的能量要求,这导致应用程序性能与电池寿命之间的设计折衷。即使在确定电池寿命可为全性能而牺牲时,对于通常为手掌大小的外壳内的电池和电子电路两者来说,热量管理也可能成问题。
对提供高性能和低电力消耗的处理器的需求已引起在处理器设计中使用动态电压和频率缩放(DVFS)。DVFS实现电力消耗与性能之间的折衷。设计成利用DVFS的处理器允许通过电压的对应调整来调整处理器的时钟频率。单独降低时钟频率是没用的,因为任何电力节省都被执行时间的增加抵消,从而导致所消耗的总能量没有净减少。然而,操作电压的降低导致所消耗电力的成比例的节省。
具有DVFS能力的处理器的主要问题是如何控制性能与电力节省之间的平衡。常规的DVFS控制算法使用空闲时间的量度来识别准许计划工作负载在时间上延伸到截止时间的经修改性能等级。就是说,通过在较低电压和较慢时钟频率下操作处理器来减少空闲时间。虽然这种方法对于连续且已知的工作负载来说可能是合适的,但许多移动装置应用程序是交互式的,且/或对处理器有不规律或无法预测的需求。因此,显然没有一个算法对于所有移动装置应用程序、应用程序组合和使用来说是最佳的。
发明内容
一种用于优化在移动装置上操作的一组性能缩放算法的配置的方法包含以下步骤:界定适合在所述移动装置上执行的一组性能缩放算法;识别适合所述组性能缩放算法的相应成员中的每一者的一组参数;使所述组参数与所述组性能缩放算法的所述相应成员相关联以界定一组程序;识别响应于待在所述移动装置上执行的一组任务的第一使用情况;将所述组程序应用于所述第一使用情况,以产生优值作为性能度量与电力度量的函数;以及识别所述第一使用情况的最大优值。
在所述用于优化一组性能缩放算法的配置的方法的替代实施例中,所述方法进一步包含以下步骤:依据所述最大优值从所述组程序中选择将针对所述使用情况而执行的第一程序。
在一些实施例中,所述用于优化一组性能缩放算法的配置的方法是在蜂窝式电话、寻呼机、个人数字助理、阅读器、卫星电话、导航装置或具有无线连接的计算机中的一者上实施。
在所述用于优化一组性能缩放算法的配置的方法的替代实施例中,所述方法进一步包含以下步骤:识别不同于所述第一使用情况的后续使用情况;以及重复所述应用所述组程序以及识别相应使用情况的最大优值的步骤。
在紧接在前的用于优化一组性能缩放算法的配置的方法的又一替代实施例中,所述方法进一步包含以下步骤:存储所述组程序中负责每一使用情况的最大优值的成员的指示以产生性能缩放时间表;以及将所述性能缩放时间表存储在所述移动装置上。
在紧接在前的用于优化一组性能缩放算法的配置的方法的另一替代实施例中,所述方法进一步包含以下步骤:检测特定使用情况何时在所述移动装置上在作用中;以及在所述移动装置上选择性地应用性能缩放算法与一组参数的组合。
根据另一方面,揭示一种用于识别指定用于在移动装置上执行的一组性能缩放算法的最佳配置的***。所述***包含:处理器,其以通信方式耦合到非易失性存储器。所述非易失性存储器中存储有:程序存储装置,其含有由性能缩放算法与一组参数的相应组合界定的一组程序;使用情况存储装置,其含有响应于待在所述移动装置上执行的一组任务的一组使用情况;以及结果存储装置,其含有在针对所述组使用情况的成员中的每一者在所述移动装置上应用所述组程序的每一成员之后产生的相应优值。
在所述用于识别指定用于在移动装置上执行的一组性能缩放算法的最佳配置的***的实例实施例中,存储在所述使用情况存储装置中的所述组使用情况由所述移动装置的卖家界定。
在所述用于识别指定用于在移动装置上执行的一组性能缩放算法的最佳配置的***的替代实施例中,所述优值为性能度量与电力度量的函数。
在所述用于识别指定用于在移动装置上执行的一组性能缩放算法的最佳配置的***的又一替代实施例中,所述***进一步包含经配置以为所述使用情况存储装置中的所述组使用情况关联所述组程序的选定成员的逻辑。
此外,一种识别在移动装置上操作的一组性能缩放算法的最佳配置的***包含:用于存储由性能缩放算法与一组参数的相应组合界定的一组程序的机构;用于控制所述移动装置的操作的机构,所述机构应用一组使用情况,所述一组使用情况包含待在所述移动装置上执行的一组任务;用于确定响应于所述组使用情况下所述组程序的操作的性能度量和电力度量的机构;用于产生优值作为所述性能度量与所述电力度量的函数的机构;用于识别选定程序并使其与相应使用情况关联以产生性能缩放时间表的机构;以及用于将所述性能缩放时间表传送到所述移动装置的机构。
在实例实施例中,所述识别在移动装置上操作的一组性能缩放算法的最佳配置的***包含一组使用情况,所述一组使用情况将待在所述移动装置上执行的预期任务识别为时间百分比。
在另一实施例中,所述识别在移动装置上操作的一组性能缩放算法的最佳配置的***包含优值,其产生作为所述性能度量与所述电力度量的乘积。
在又一方面中,揭示一种计算机程序产品,其包含具有包含于其中的计算机可读程序代码的计算机可用媒体。所述计算机可读程序代码适于执行并实施用于优化在移动装置上操作的一组性能缩放算法的配置的方法。所述计算机程序产品包含:经配置以界定适合在所述移动装置上执行的一组性能缩放算法的逻辑;经配置以识别适合所述组性能缩放算法的相应成员中的每一者的一组参数的逻辑;经配置以调整受测移动装置以使用性能缩放算法与选定一组参数的选定组合来操作的逻辑,所述逻辑经配置以进一步根据使用情况来调整所述受测移动装置;经配置以确定响应于所述受测移动装置的操作的性能度量和电力度量的逻辑;经配置以产生优值作为所述性能度量与所述电力度量的函数的逻辑;经配置以识别并关联负责产生最大优点值的性能缩放算法与相应一组参数的相应组合以产生性能缩放时间表上的点的逻辑;以及经配置以将所述性能缩放时间表传送到所述移动装置的逻辑。
在替代实施例中,适于执行和实施用于优化在移动装置上操作的一组性能缩放算法的配置的方法的所述计算机程序产品进一步包含经配置以确定何时存在额外测试情况的逻辑,所述测试情况由相应性能缩放算法、相应一组参数和相应使用情况的组合界定,所述逻辑进一步经配置以重复地调整所述受测移动装置,确定所述性能度量和所述电力度量,且产生相应优值。
在替代实施例中,适于执行和实施用于优化在移动装置上操作的一组性能缩放算法的配置的方法的所述计算机程序产品包含适合控制多个处理器核的执行的负载平衡算法。
在另一替代实施例中,适于执行和实施用于优化在移动装置上操作的一组性能缩放算法的配置的方法的所述计算机程序产品包含一组使用情况,其将待在所述移动装置上执行的预期任务识别为时间百分比。
在又一替代实施例中,适于执行和实施用于优化在移动装置上操作的一组性能缩放算法的配置的方法的所述计算机程序产品包含优值,其是从所述性能度量与所述电力度量的乘积计算。
在又一方面中,揭示一种用于优化在移动装置上操作的一组性能缩放算法的配置的方法。所述方法包含以下步骤:存储适合在所述移动装置上执行的一组性能缩放算法;使适合所述组性能缩放算法的相应成员中的每一者的一组参数与所述组性能缩放算法的所述相应成员相关联以界定一组程序;识别响应于待在所述移动装置上执行的任务的一组使用情况;存储所述组程序中负责每一使用情况的最大优值的成员的指示以产生性能缩放时间表;将所述性能缩放时间表存储在所述移动装置上;检测特定使用情况何时在所述移动装置上在作用中;以及在所述移动装置上选择性地应用性能缩放算法与所述组参数的组合。
在一些实例实施例中,所述用于优化一组性能缩放算法的配置的方法在蜂窝式电话、寻呼机、个人数字助理、阅读器、卫星电话、导航装置或计算装置中的一者上操作。
附图说明
参考下图可更好地理解用于优化适合在移动装置上操作的一组性能缩放算法的配置的***和方法。图中的组件不一定按比例绘制,而是将重点放在清楚地说明定性地测量从包含性能缩放算法和相应的运行时参数的一组程序产生的结果的原理。此外,在图中,相同参考标号在不同视图中始终表示对应部分。
图1是说明移动装置的实施例的示意图。
图2是说明图1的移动装置的特征的示意图。
图3是说明用于管理和揭示在图1的移动装置上操作的性能缩放算法的方法的实施例的流程图。
图4是说明可在图1的移动装置上操作的计算机程序产品的实施例的流程图。
图5是说明图1的移动装置上的性能度量的实施例的曲线图。
图6A和6B包含说明使用情况的实施例的示意图。
图7是说明测试环境的实施例的示意图。
图8是说明用于优化在移动装置上操作的一组性能缩放算法的配置的方法的实施例的流程图。
具体实施方式
词语“示范性”在本文中用于表示“充当实例、例子或说明”。不必将本文中描述为“示范性”的任何方面解释为与其它方面相比为优选或有利的。
在此描述中,术语“应用程序”也可包含具有可执行内容的文件,例如:目标代码、脚本、字节代码、标记语言文件及补丁。另外,本文中所提到的“应用程序”还可包括本质上不可执行的文件,例如可能需要打开的文档或其它需要存取的数据文件。
在此描述中,术语“移动装置”用以描述依靠有限容量电源(例如电池)来操作的任何装置。尽管依靠电池操作的移动装置已经使用了数十年,但随着第三代(“3G”)无线技术的出现,相关的可再充电电池的技术进步已使大量移动装置能够具有多种能力。因此,移动装置可为蜂窝式电话、卫星电话、寻呼机、PDA、智能电话、导航装置、智能本或阅读器、媒体播放器、前面所提到的装置的组合、具有无线连接的膝上型计算机,等等。
引入多核处理器和专用硬件资源来实施移动装置上的各种功能以及对电池寿命的改进的持续需要已进一步使动态地调整移动装置以降低电力消耗并同时提供可接受的最终用户体验的任务变得复杂。作为响应,本发明揭示用于管理和揭示多个性能缩放算法的改进的移动装置和方法。不同可用移动装置的数目、用以与所述装置通信的各种通信协议或标准、不同类型的可用应用程序、使用所述装置的不同方式等表明了没有单个方法或解决方案可为所有可能的操作组合而调整或优化。
作为响应,提出在移动装置上提供并实施一组性能缩放算法。所述组性能缩放算法的可用性提供电力节省机会,同时使在正确应用选定性能缩放算法时的性能影响最小化。进一步提出向各种移动装置的制造商揭示所述组性能缩放算法。相信制造商将有兴趣基于各种使用条件的所要性能而在移动装置上提供选定或经优化的性能缩放解决方案。可在启动时应用这些制造商选定或经优化解决方案。在一些情形中,制造商可能愿意经由相应移动装置向其客户揭示性能缩放替代物。在这些情形中,制造商可提供或修改应用程序编程接口,其将使最终用户能够选择可在启动时应用的所要性能和电池寿命,或可提供运行时选择以及性能缩放解决方案的实时替换。这些替代运行时解决方案可包含完全停用移动装置上的所有性能缩放解决方案。
用于管理和揭示移动装置上的性能缩放算法的方法通常是经由软件或固件与硬件的组合来实施。所述软件和固件可存储在耦合到可在移动装置上操作的一个或一个以上处理器的非易失性存储器元件中。存储在非易失性存储器元件中的软件被传送到合适的指令执行***(微处理器)并由其执行。移动装置上的硬件实施方案可包含以下技术(全部为此项技术中众所周知)中的任一者或组合:离散电子组件、具有用于对数据信号实施逻辑功能的逻辑门的离散逻辑电路、具有适当逻辑门的专用集成电路、可编程门阵列(PGA)、现场可编程门阵列(FPGA)等。
参看图1,此图为呈无线电话形式的移动装置100的示范性非限制方面的图。如图所示,移动装置100包含芯片上***102,其包含耦合在一起的数字信号处理器110和模拟信号处理器126。如图1中所说明,显示器控制器128和触摸屏控制器130耦合到数字信号处理器110。在芯片上***102外部的触摸屏显示器132耦合到显示器控制器128和触摸屏控制器130。
图1进一步指示视频编码器134(例如,逐行倒相(“PAL”)编码器、顺序色彩与存储(“SECAM”)编码器、国家电视***委员会(“NTSC”)编码器或任何其它视频编码器)耦合到数字信号处理器110。另外,视频放大器136耦合到视频编码器134和触摸屏显示器132。视频端口138耦合到视频放大器136。如图1中所描绘,通用串行总线(“USB”)控制器140耦合到数字信号处理器110。并且,USB端口142耦合到USB控制器140。存储器112和订户身份模块(SIM)卡146也可耦合到数字信号处理器110。另外,如图1中所示,数字相机148可耦合到数字信号处理器110。在示范性方面中,数字相机148为电荷耦合装置(“CCD”)相机或互补金属氧化物半导体(“CMOS”)相机。
如图1中进一步说明,立体声音频CODEC150可耦合到模拟信号处理器126。此外,音频放大器152可耦合到立体声音频CODEC150。在示范性方面中,第一立体声扬声器154和第二立体声扬声器156耦合到音频放大器152。图1展示麦克风放大器158可也耦合到立体声音频CODEC150。另外,麦克风160可耦合到麦克风放大器158。在特定方面中,调频(“FM”)无线电调谐器162可耦合到立体声音频CODEC150。并且,FM天线164耦合到FM无线电调谐器162。另外,立体声头戴式耳机166可耦合到立体声音频CODEC150。
图1进一步指示射频(“RF”)收发器168可耦合到模拟信号处理器126。RF开关170可耦合到RF收发器168和RF天线172。如图1中所示,小键盘174可耦合到模拟信号处理器126。并且,具有麦克风的单声道耳机176可耦合到模拟信号处理器126。另外,振动器装置178可耦合到模拟信号处理器126。图1还展示电源180(例如电池)耦合到芯片上***102。在特定方面中,所述电源包含可再充电DC电池或从连接到AC电力源的交流(“AC”)到DC变换器得出的DC电源。
图1还展示移动装置100可包含监视器模块114。监视器模块114与遍布芯片上***102的多个操作传感器且与移动装置100的数字信号处理器110通信。如图1中所描绘,触摸屏显示器132、视频端口138、USB端口142、相机148、第一立体声扬声器154、第二立体声扬声器156、麦克风160、FM天线164、立体声头戴式耳机166、RF开关170、RF天线172、小键盘174、单声道耳机176、振动器178以及电源180在芯片上***322外部。然而,应理解,监视器模块114还可借助模拟信号处理器126和数字信号处理器110从这些外部装置中的一者或一者以上接收一个或一个以上指示或信号,以辅助对可在移动装置100上操作的资源的实时管理。
在特定方面中,本文所述的方法步骤中的一者或一者以上可由存储在存储器112中的可执行指令和参数来实施。这些指令可由数字信号处理器110、模拟信号处理器126或另一处理器执行以实施本文所述的方法。另外,处理器110、126、存储器112、存储在其中的指令或其组合可充当用于执行本文所述的方法步骤中的一者或一者以上的装置。
图2是说明图1的移动装置100的特征的示意图。如图2中所指示,数字信号处理器110经由总线211耦合到存储器112。数字信号处理器110为具有N个核处理器的多核处理器。就是说,数字信号处理器110包含第一核222、第二核224和第N核230。如所知,第一核222、第二核224和第N核230中的每一者可用于支持专用应用程序或程序。或者,一个或一个以上应用程序或程序可经分布以用于跨可用核中的两者或两者以上的处理。
第一核222、第二核224到第N核230可集成在单个集成电路裸片上,或其可集成或耦合在多电路封装中的单独裸片上。设计者可经由一个或一个以上共享高速缓冲存储器来耦合第一核222、第二核224到第N核230,且其可经由例如总线、环状、网状和纵横拓扑等网络拓扑来实施消息或指令传递。
在所说明的实施例中,RF收发器268是经由数字电路元件来实施,且包含至少一个处理器,例如核处理器210(标记为“核”)。在此数字实施方案中,RF收发器268经由总线213耦合到存储器112。
总线211和总线213中的每一者可包含经由一个或一个以上有线或无线连接的多个通信路径,如此项技术中已知。总线211和总线213可具有额外元件(为了简单性而省略),例如控制器、缓冲器(高速缓冲存储器)、驱动器、中继器和接收器,来实现通信。另外,总线211和总线213可包含地址、控制和/或数据连接,以实现前面提到的组件之间的适当通信。
当移动装置100所使用的逻辑在软件中实施时,如图2中所示,应注意,启动逻辑250、管理逻辑260、DVFS接口逻辑270、应用程序存储装置280中的应用程序以及文件***290的部分中的一者或一者以上可存储在任何计算机可读媒体上,以供任何计算机有关的***或方法使用,或结合任何计算机有关的***或方法使用。
在本文献的上下文中,计算机可读媒体是可含有或存储计算机程序和数据以供计算机有关的***或方法使用或结合计算机有关的***或方法使用的电子、磁性、光学或其它物理装置。各种逻辑元件和数据存储装置可体现于任何计算机可读媒体中以供指令执行***、设备或装置使用或结合指令执行***、设备或装置使用,例如可从指令执行***、设备或装置取指令并执行所述指令的基于计算机的***、含有处理器的***或其它***。在本文献的上下文中,“计算机可读媒体”可为可存储、传送、传播或输送程序以供指令执行***、设备或装置使用或结合指令执行***、设备或装置使用的任何装置。
计算机可读媒体可为(例如但不限于)电子、磁性、光学、电磁、红外线或半导体***、设备、装置或传播媒体。计算机可读媒体的更具体实例(非详尽列表)将包含以下各项:具有一个或一个以上线的电连接(电子)、便携式计算机磁盘(磁性)、随机存取存储器(RAM)(电子)、只读存储器(ROM)(电子)、可擦除可编程只读存储器(EPROM、EEPROM或快闪存储器)(电子)、光纤(光学),以及便携式压缩光盘只读存储器(CDROM)(光学)。注意,计算机可读媒体甚至可为程序印刷于其上的纸或另一合适媒体,因为可例如经由对纸或其它媒体的光学扫描来以电子方式捕获所述程序,接着如有必要以合适方式来编译、解译或以其它方式处理所述程序,且接着将所述程序存储在计算机存储器中。
在替代实施例中,其中启动逻辑250、管理逻辑260以及可能DVFS接口逻辑270中的一者或一者以上在硬件中实施,各种逻辑可结合以下技术(各自为此项技术中众所周知)中的任一者或组合来实施:具有用于对数据信号实施逻辑功能的逻辑门的离散逻辑电路、具有适当组合逻辑门的专用集成电路(ASIC)、可编程门阵列(PGA)、现场可编程门阵列(FPGA)等。
存储器112为非易失性数据存储装置,例如快闪存储器或固态存储器装置。尽管描绘为单个装置,但存储器112可为具有单独数据存储装置的分布式存储器装置,所述数据存储装置耦合到数字信号处理器和/或RF收发器268中的核210(或额外处理器核)。
启动逻辑250包含一个或一个以上可执行指令,其用于选择性地识别、加载和执行选定程序,以用于管理或控制例如第一核222、第二核224到第N核230等可用核中的一者或一者以上的性能。选定程序可在嵌入式文件***290的程序存储装置296中找到,且由性能缩放算法297与一组参数298的特定组合界定。选定程序在由数字信号处理器中的核处理器以及RF收发器268中的核210中的一者或一者以上执行时,可根据监视器模块114提供的一个或一个以上信号来操作,以缩放相应处理器核的性能。在这点上,监视器模块114可提供事件、进程、应用程序、资源状态情况、逝去时间、温度等的一个或一个以上指示符。
管理逻辑260包含一个或一个以上可执行指令,用于终止相应处理器核中的一者或一者以上上的操作性能缩放程序,以及选择性地识别、加载和执行较合适的替换程序来管理或控制可用核中的一者或一者以上的性能。管理逻辑260经布置以在运行时或在移动装置被供电且正由装置的操作者使用时执行这些功能。替换程序可在嵌入式文件***290的程序存储装置296中找到,且由性能缩放算法297与一组参数298的特定组合界定。替换程序在由数字信号处理器中的核处理器或RF收发器268中的核210中的一者或一者以上执行时,可根据监视器模块114提供的一个或一个以上信号或各种处理器核的相应控制输入上提供的一个或一个以上信号来操作,以缩放相应处理器核的性能。在这点上,监视器模块114可提供事件、进程、应用程序、资源状态情况、逝去时间、温度等的一个或一个以上指示符。
DVFS接口逻辑或接口逻辑270包含一个或一个以上可执行指令,以用于呈现、管理和与外部输入交互,以观察、配置或以其它方式更新存储在嵌入式文件***290中的信息。在一个实施例中,接口逻辑270可结合经由USB端口142接收的制造商输入而操作。这些输入可包含待从程序存储装置296删除或添加到程序存储装置296的一个或一个以上程序。或者,所述输入可包含对程序存储装置296中的程序中的一者或一者以上的编辑或改变。此外,所述输入可识别对启动逻辑250和管理逻辑260中的一者或两者的一个或一个以上改变或整个替换。举例来说,所述输入可包含对管理逻辑260的改变,其指令移动装置100在接收到的信号功率下降到低于所识别阈值时暂停RF收发器268中的所有性能缩放。作为另一实例,所述输入可包含对管理逻辑260的改变,其指令移动装置100在视频编解码器134活动时应用所要程序。
接口逻辑270使制造商能够在移动装置100上的所定义操作条件下可控地配置和调整最终用户的体验。当存储器112为快闪存储器时,可编辑、替换或以其它方式修改启动逻辑250、管理逻辑260、接口逻辑270、应用程序存储装置280中的应用程序或嵌入式文件***290中的信息中的一者或一者以上。在一些实施例中,接口逻辑270可准许移动装置100的最终用户或操作者搜索、定位、修改或替换启动逻辑250、管理逻辑260、应用程序存储装置280中的应用程序以及嵌入式文件***290中的信息。操作者可使用所得接口来进行改变,所述改变将在移动装置100的下次启动后即刻实施。或者,操作者可使用所得接口来进行改变,所述改变在运行时期间实施。
嵌入式文件***290包含分层布置的DVFS存储装置292。在这方面,文件***290可包含其总文件***容量的保留部分以用于存储用于移动装置100所使用的各种参数298和性能缩放算法297的配置和管理的信息。如图2中所示,DVFS存储装置292包含核存储装置294,其包含程序存储装置296,程序存储装置296包含一个或一个以上DVFS程序。将每一程序界定为相应的性能缩放算法和与特定算法相关联的一组参数的组合。作为DVFS存储装置292的分层性质的另一实例,一组文件的特定成员可由\startup\core0\algorithm\parameterset的路径定位和识别。在此实例中,程序由算法结合存储在参数集中的信息的内容来识别。举例来说,可按如下方式根据参数取样率、要增加的样本以及要减少的样本来识别被称为“经典”的常规DVFS算法,以管理核0222上的性能缩放:\startup\core0\classic\SampleRate,值为100,其中取样率是以MHz计;\startup\core0\classic\SamplesToIncrease,值为2,其中要增加的样本为整数;以及\startup\core0\classic\SamplesToDecrease,值为1,其中要减少的样本为整数。就是说,相应文件名界定参数,且所述参数的值由文件的内容识别。所述算法由对CPU空闲百分比的周期性取样界定,且根据低阈值(%空闲)和高阈值(%空闲)来操作。如果要增加样本阈值比较器针对2个连续样本指示应增加性能,那么DVFS算法根据预定的时钟等级调整来增加性能。相反,如果要减少样本阈值比较器针对1个连续样本指示应降低性能,那么DVFS算法根据预定的时钟等级(即,频率)调整来降低性能。如上文所阐释,可连同时钟频率的改变一起改变处理器或核操作电压。
替代地或另外,DVFS存储装置292可经布置以使得搜索路径从相对于其应用程序最特定(即,处理器核、算法和参数值)开始进行到相对于应用程序最不特定。在实例实施例中,参数是联合“经典”性能缩放算法在目录/core0、/coreAll以及/default中界定。举例来说,路径\core0\classic\SampleRate仅应用于在核0上操作的经典算法。此最特定应用程序将超越所有其它应用程序。路径\coreAll\classic\SampleRate应用于运行经典算法的任何处理器核。此应用程序不如以上实例路径特定,但比\default\classic\SampleRate(其应用于运行经典算法的任何处理器核)特定。此默认应用程序是最不特定的,且仅在DVFS存储装置292中不存在其它合适路径的情况下使用。找到的第一参数将是使用的参数。\default位置将始终具有有效参数文件。预期个别核的架构、一个或一个以上共享高速缓冲存储器以及用以在核之间传递指令的机构的架构,以及移动装置100的所要使用情况决定着存储在存储器112中的各种性能缩放算法297的性质。
图3是说明用于管理和揭示在图1的移动装置100上操作的性能缩放算法的方法300的实施例的流程图。方法300以框302开始,其中在移动装置100中的非易失性存储器(例如存储器112)中提供一组性能缩放算法。在框304中,为所述组性能缩放算法的每一成员识别一组参数。此后,如框306中所指示,使所述组参数与所述组性能缩放算法的相应成员相关联以界定一组程序。如上文所指示,这可通过建立所定义序列以用于组织可结合特定性能缩放算法而应用的各种参数,在例如文件***290等文件***中实现。
接下来,如框308中所示,识别所述组程序的至少一个成员,以用于缩放所识别处理器核的性能。在框310中,使所识别的处理器核与框308中识别的所述组程序的成员彼此相关联。如上文所指示,这可通过在指定核识别符下将算法和参数分层存储或以其它方式布置,在例如文件***290等文件***中实现。在框312中,创建揭示所述组程序与所述核关联的接口。在任选框314中,在启动时选择第一程序来执行以在可用处理器核上实施性能缩放。这可由搜索例程来实现,所述搜索例程遍历DVFS存储装置292以便识别用于管理相应处理器核的操作的适当DVFS程序(即,性能缩放算法与一组参数的组合)。此后,如任选框316中所示,在识别所定义事件、操作条件、对特定硬件资源的请求、应用程序等中的一者或一者以上后,可即刻在运行时期间用第二程序来代替第一程序。
图4是说明可在图1的移动装置上操作的计算机程序产品的实施例的流程图。在一些实施例中,计算机程序产品可包含上文联合启动逻辑250和管理逻辑260所描述的功能性。或者,计算机程序产品可进一步包含接口逻辑270的方面以及适合填充用于特定移动装置100的DVFS存储装置292的信息。
如图4中所说明,以流程图或方法400的形式说明计算机程序产品的实例功能性。方法400以框402开始,其中从一组程序选择适合缩放可在移动装置100上操作的处理器核的性能的成员来界定程序。如框402中进一步说明,所述组程序由性能缩放算法与结合所述算法应用的相应一组参数的相应组合界定。在框404中,将选定程序加载或以其它方式传送到移动装置100上的处理器核。如框404中所指示,这是根据启动序列来完成的。所属领域的技术人员将理解,所述启动序列可在固件、软件或甚至操作***本身中实现。一旦将选定程序传送到相应的处理器核,就可执行所述选定程序,以根据需要缩放所述处理器核或在移动装置100上操作的其它处理器核的性能。
此后,如框406中所指示,监视移动装置100的使用,以识别指示来自所述组程序的代替程序将代替所述选定程序的条件。在框408中,在运行时期间用代替程序代替选定程序。如上文所指示,用代替程序来代替选定程序可伴随有在加载和执行代替程序之前选定程序的受管理终止。
已联合图1到4中所说明的实施例大体上描述了移动装置100的实例实施例以及可如何使用本文的嵌入式文件***来管理和揭示多个性能缩放算法的应用,现在参看图5到8,其包含额外实施例以说明用于优化移动装置上的多个性能缩放算法和操作参数的配置的离线过程。
在这点上,图5是说明图1的移动装置上的性能度量的形成的曲线图。横坐标表示移动装置100每秒处理的视频信息的帧数。在这点上,横坐标表示移动装置100的实际性能,即每秒再现的视频信息帧。纵坐标表示标准化性能。因此,图表500说明从移动装置100性能的性能度量的转换或形成。迹线510显示每秒约26帧或以下的帧速率被认为是不可接受的,且得到标准化性能得分0。相反,介于每秒约26帧与标称的每秒30帧之间的帧速率是可接受的,其中每秒30帧的标称帧速率得到标准化性能得分1。与选定DVFS程序相关联的任何数目的因素(例如,算法、一个或一个以上参数的特定值)可具有产生此不合需要的性能等级的可能性。此外,迹线510的形状指示存在某一范围的操作电压和频率,其可应用于移动装置100上的处理器核和/或专用视频编解码器中的一者或一者以上以实现一些电力节省,同时仍实现且/或维持可接受的性能等级。
图5中的曲线图代表视频重放特征的一个性能度量。因为移动装置100能够执行大量其它应用程序,因此可形成任何数目的额外性能度量,以用于随着参数被调整且性能缩放算法应用于一个或一个以上处理器核而比较和分析移动装置100上的其它特征或应用程序的相对性能。
图6A和6B包含说明使用情况的实施例的示意图。图6A的表600中说明第一实例使用情况。所述使用情况包含能够在移动装置100上执行的一组任务。所述任务包含网络浏览(即,在移动装置100处于耦合到因特网的发射器的通信范围内时,执行浏览器应用程序)、音频/视频重放、音频重放、运行电子邮件应用程序以及运行一个或一个以上其它应用程序。使用情况进一步由移动装置100的计划使用率界定。将计划使用率描绘为移动装置100通电且靠电池操作的总时间的百分比。计划使用率可由移动装置的制造商或卖家设定。
图6B的表650中说明第二实例使用情况。此第二使用情况包含代替第一使用情况的电子邮件应用程序的短消息接发服务(SMS)应用程序。除待在移动装置100上执行的所列任务的改变之外,第二使用情况还包含装置的最终用户可能正执行相关联任务的不同的一组计划百分比。
因此,识别移动装置100上的性能缩放算法和参数的可用组合中的一者在为计划使用情况节省电力方面是否更有效并不是一件小事。优良值或优值提供用于对照待在移动装置100上执行的任务中的每一者来比较N个可用性能缩放算法对M组可能参数组合的应用的定量量度。优值是标准化性能度量与对应电力度量的乘积。如上文所指示,可为每一任务识别一个或一个以上性能度量。
电力度量可包含所节省电力值以及所使用的总电力。所使用的总电力可为各种性能缩放算法和参数的相对有效性的较佳指示符,因为移动装置100所花费的总电力具有静态和动态两种分量。实例表610(图6A)和实例表660(图6B)中展示标准化性能度量和电力度量的函数,即这些值的乘积。表610和表660中描绘的值以标度0到100来缩放或标准化,其中经缩放得分或优值0指示最不合需要的组合,且优值100表示对性能缩放算法与参数集的给定组合来说可能最好的性能和电力节省。尽管表610和表660包含相同的性能缩放算法(即,算法A、算法B和算法N),但相应使用情况下用于任务中的每一者的对应优值是不同的,因为所应用的参数针对每一使用情况是不同的。
实例表610中的信息以及实例表660中的信息仍不容易指示特定性能缩放算法是否将在整个使用情况内较好地执行。然而,可从以下等式确定***或复合优值(CMV)
Figure BDA00003054434200131
    等式1
其中,n为使用情况;且w为加权因子,其在最基本实施例中可为预期移动装置100正执行一个或一个以上任务的时间百分比。在替代实施例中,加权因子可为指示任何一种使用情况在作出对移动装置100最好的性能缩放算法与参数集的组合的确定中的相对重要性的值。注意,在一些情况下,特定移动装置100可同时执行多个任务。因此,对于每一使用情况,可将优值(MV)计算为当移动装置100正根据由特定算法和一组参数界定的组合执行指定任务时的性能度量与电力度量的乘积。如下文将阐释,测试***可逐步通过感兴趣的任何数目的性能缩放算法和参数集,以确定特定组合是否比其它组合合意。
可在已将移动装置100递送给装置的客户之后应用类似的数据收集程序。这将允许如图6A和6B中所示确定调谐和性能缩放算法选择,但是针对移动装置100的实际客户使用而不是计划使用。另外,一旦已确定哪一性能缩放算法与参数配置针对特定使用情况得出最多节省电力,移动装置100就可经配置以检测所述使用情况,并在所述使用情况在作用中时应用所述性能缩放算法和参数集。
图7是说明测试环境700的实施例的示意图。测试环境700包含测试***710,其经由通信链路740与受测试的移动装置(即,移动装置200)以通信方式耦合。通信链路740可为有线或无线链路。所说明的实施例中的测试***710包含处理器715、存储器720以及输入/输出控制器730,其借助局部总线712彼此耦合。局部总线712可具有额外元件(为了简单性而省略),例如控制器、缓冲器(高速缓冲存储器)、驱动器、中继器和接收器,以实现通信。另外,局部总线712可包含地址、控制和/或数据连接,以实现前面提到的组件之间的适当通信。
处理器715为用于执行软件(即,程序或可执行指令集)(特别是存储在可由处理器715存取的存储器(例如存储器720)中的软件)的硬件装置。处理器715可为任何定制或市售处理器、中央处理单元(CPU)、与测试***710相关联的若干处理器之中的辅助处理器、基于半导体的微处理器(呈微芯片或芯片组的形式),或大体上用于执行指令的任何装置。
输入/输出(I/O)控制器730管理由测试***710接收或从测试***710传送的所有信息。I/O控制器730管理在包含例如(但不限于)键盘、鼠标、扫描仪、麦克风和/或触敏显示器等装置的任何数目的I/O装置之间传送的信息。所述I/O装置还包含调制解调器、射频(RF)或其它收发器、以太网接口、通用串行总线接口等中的一者或一者以上。
存储器720可包含易失性存储器元件(例如,随机存取存储器(RAM),例如动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、同步动态随机存取存储器(SDRAM)等),以及非易失性存储器元件(例如,只读存储器(ROM)、硬盘驱动器、磁带、压缩光盘只读存储器(CD-ROM)等)。此外,存储器720可并入有电子、磁性、光学和/或其它类型的存储媒体。注意,存储器720可具有分布式架构,其中各个组件位于彼此的远方,但可由处理器715存取。
存储器720中的软件可包含一个或一个以上单独程序或逻辑,其各自包括用于实施各种所定义功能的可执行指令的有序列表。在图7中所说明的实例实施例中,存储器720中的软件包含测试逻辑722、控制逻辑724、优点逻辑726以及确定逻辑728。在替代实施例中,测试逻辑722、控制逻辑724、优点逻辑726以及确定逻辑728中的一者或一者以上可经由在测试***710远方但可由测试***710存取的一个或一个以上分布式计算装置实施。
当测试***710所使用的逻辑以软件实施时,如图7中所示,测试逻辑722、控制逻辑724、优点逻辑726以及确定逻辑728中的一者或一者以上可存储在任何计算机可读媒体上,以供任何计算机相关的***或方法使用或结合任何计算机相关的***或方法使用。另外,将性能缩放程序(例如算法723a和参数723b)识别为存储在程序存储装置721中、将使用情况识别为存储在使用情况存储装置725中和将优值或其它结果识别为存储在结果存储装置727中的信息也可存储在计算机可读媒体上。
测试逻辑722包含用于管理用于准备一组条件以确定来自移动装置200的定量结果的各种步骤和/或任务的可执行指令。测试逻辑722结合控制逻辑724而工作以配置移动装置200。控制逻辑724经由I/O控制器730和通信链路740发送命令,所述命令配置移动装置以根据性能缩放算法与一组参数的所定义组合而起作用。另外,控制逻辑724经由这些相同元件来发送命令以配置移动装置200,以根据使用情况执行指定任务。测试逻辑722经配置以逐步通过任何数目的所要使用情况、算法和参数。对于每一测试情况,测试***710从移动装置200接收电力度量和性能度量。优点逻辑726接收电力和性能度量,且为每一测试情况计算优值或优良值。测试逻辑722又将来自优点逻辑726的优值发送到结果存储装置727。结果存储装置727可包含例如图6A和图6B中所示的实例表的表。在已完成所有所要测试情况之后,测试逻辑722指导确定逻辑728为每一使用情况识别性能缩放算法与参数集的最佳组合。确定逻辑728可将一个或一个以上指示符添加到表(例如图6A中所示的实例表),以产生可以任何数目的格式传送到移动装置200的性能缩放时间表。
图8是说明用于优化在例如图1的实例移动装置100等移动装置上操作的一组性能缩放算法的配置的方法800的实施例的流程图。方法800以框802开始,其中界定适合在移动装置100上执行的一组性能缩放算法。在框804中,识别用于所述组性能缩放算法的每一成员的一组参数。此后,如框806中所示,使所述组参数与所述组算法的相应成员相关联以界定一组程序。如框808中所指示,识别响应于经指定在移动装置100上执行的一组任务的使用情况。在框810中,在移动装置正根据所述使用情况操作时将所述组程序反复应用于所述移动装置以产生优值。如框810中进一步指示,优值为从在当前使用情况和程序下移动装置100的操作确定的性能度量与电力度量的函数。在框812中,为第一使用情况中识别的任务计算复合优值。在框814中,将第一或选定程序识别为针对所识别使用情况最合意在移动装置中的可用处理器核上执行。此后,如框816中所指示,测试***710重复所述组程序的应用,且识别额外使用情况的最大优值以产生性能缩放时间表。一旦确定,就可将性能缩放时间表作为表来传送或可嵌入文件***(例如文件***290)中,以将选定程序应用于在移动装置100上操作的相应处理器核,如对于所识别使用情况可为所要。
本说明书中描述的过程或过程流中的某些步骤为了使本发明如所描述那样运作而自然地在其它步骤之前。然而,本发明不限于所描述的步骤的次序,前提是此次序或序列并不更改本发明的功能性。就是说,应认识到,在不脱离本发明的范围和精神的情况下,一些步骤可在其它步骤之前、之后或与其它步骤并行(大体上同时)执行。在一些例子中,可在不脱离本发明的情况下,省略或不执行某些步骤。另外,例如“此后”、“接着”、“接下来”等词语无意限制步骤的次序。这些词语只是用来引导读者浏览对示范性方法的描述。
编程领域的技术人员能够在无过度困难或试验的情况下写入计算机代码或识别适当硬件来实施所揭示的过程。因此,不将特定一组可执行指令或详述硬件装置的揭示视为对充分理解如何制作和使用本发明来说为必要的。在以上描述中且结合可说明各种过程流的图更详细地阐释所主张的移动装置100和过程的发明性功能性。
在一个或一个以上示范性方面中,所描述的功能可以硬件、软件、固件、或其任何组合来实施。如果以软件来实施,那么可将所述功能作为一个或一个以上指令或代码存储在计算机可读媒体上或经由计算机可读媒体传输。计算机可读媒体包含计算机存储媒体与包含促进计算机程序从一处传递到另一处的任何媒体的通信媒体两者。存储媒体可为可由计算机存取的任何可用媒体。作为实例而非限制,此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置,或可用以运载或存储呈指令或数据结构形式的所要程序代码且可由计算机存取的任何其它媒体。
并且,可适当地将任何连接称作计算机可读媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字订户线(“DSL”)或例如红外线、无线电及微波的无线技术从网站、服务器或其它远程源传输软件,则同轴电缆、光纤电缆、双绞线、DSL或例如红外线、无线电及微波的无线技术包含于媒体的定义中。
如本文中所使用,磁盘及光盘包括压缩光盘(“CD”)、激光光盘、光学光盘、数字多功能光盘(“DVD”)、软磁盘及蓝光光盘,其中磁盘通常磁性地再生数据,而光盘使用激光光学地再生数据。以上各项的组合也应包含在计算机可读媒体的范围内。
尽管已详细说明和描述了选定方面,但将理解,可在不脱离如所附权利要求书中所界定的本发明的范围的情况下,在本文中作出各种替代和更改。

Claims (20)

1.一种用于优化在移动装置上操作的一组性能缩放算法的配置的方法,所述方法包括:
界定适合在所述移动装置上执行的一组性能缩放算法;
识别适合所述组性能缩放算法的相应成员中的每一者的一组参数;
使所述组参数与所述组性能缩放算法的所述相应成员相关联以界定一组程序;
识别响应于待在所述移动装置上执行的一组任务的第一使用情况;
将所述组程序应用于所述第一使用情况,以产生优值作为性能度量与电力度量的函数;以及
识别所述第一使用情况的最大优值。
2.根据权利要求1所述的方法,其进一步包括:
依据所述最大优值从所述组程序中选择将针对所述使用情况而执行的第一程序。
3.根据权利要求1所述的方法,其进一步包括:
识别不同于所述第一使用情况的后续使用情况;以及
重复所述应用所述组程序以及识别相应使用情况的所述最大优值的步骤。
4.根据权利要求3所述的方法,其进一步包括:
存储所述组程序中负责每一使用情况的所述最大优值的成员的指示以产生性能缩放时间表;以及
将所述性能缩放时间表存储在所述移动装置上。
5.根据权利要求4所述的方法,其进一步包括:
检测特定使用情况何时在所述移动装置上在作用中;以及
在所述移动装置上选择性地应用性能缩放算法与一组参数的组合。
6.根据权利要求1所述的方法,其中所述移动装置包括:
蜂窝式电话、寻呼机、个人数字助理、阅读器、卫星电话、导航装置或具有无线连接的计算机中的一者。
7.一种用于识别指定用于在移动装置上执行的一组性能缩放算法的最佳配置的***,其包括:
处理器,其以通信方式耦合到非易失性存储器,所述非易失性存储器含有:
程序存储装置,其含有由性能缩放算法与一组参数的相应组合界定的一组程序;
使用情况存储装置,其含有响应于待在所述移动装置上执行的一组任务的一组使用情况;
结果存储装置,其含有在针对所述组使用情况的成员中的每一者在所述移动装置上应用所述组程序的每一成员之后产生的相应优值。
8.根据权利要求7所述的***,其中存储在所述使用情况存储装置中的所述组使用情况由所述移动装置的卖家界定。
9.根据权利要求7所述的***,其中所述优值为性能度量与电力度量的函数。
10.根据权利要求7所述的***,其进一步包括:
经配置以为所述使用情况存储装置中的所述组使用情况关联所述组程序的选定成员的逻辑。
11.一种用于识别在移动装置上操作的一组性能缩放算法的最佳配置的***,所述***包括:
用于存储由性能缩放算法与一组参数的相应组合界定的一组程序的装置;
用于控制所述移动装置的操作的装置,所述用于控制的装置应用一组使用情况,所述组使用情况包含待在所述移动装置上执行的一组任务;
用于确定响应于所述组程序的操作在所述组使用情况期间的性能度量和电力度量的装置;
用于产生优值作为所述性能度量与所述电力度量的函数的装置;
用于识别选定程序并使其与相应使用情况关联以产生性能缩放时间表的装置;以及
用于将所述性能缩放时间表传送到所述移动装置的装置。
12.根据权利要求11所述的***,其中所述用于控制的装置包括一组使用情况,所述组使用情况将待在所述移动装置上执行的预期任务识别为时间百分比。
13.根据权利要求11所述的***,其中所述用于产生优值的装置包括产生所述性能度量与所述电力度量的乘积。
14.一种计算机程序产品,其包括其中包含有计算机可读程序代码的计算机可用媒体,所述计算机可读程序代码适于经执行以实施用于优化在移动装置上操作的一组性能缩放算法的配置的方法,所述计算机程序产品包括:
经配置以界定适合在所述移动装置上执行的一组性能缩放算法的逻辑;
经配置以识别适合所述组性能缩放算法的相应成员中的每一者的一组参数的逻辑;
经配置以调整受测移动装置以使用性能缩放算法与选定一组参数的选定组合来操作的逻辑,所述逻辑经配置以进一步根据使用情况来调整所述受测移动装置;
经配置以确定响应于所述受测移动装置的操作的性能度量和电力度量的逻辑;
经配置以产生优值作为所述性能度量与所述电力度量的函数的逻辑;
经配置以识别并关联负责产生最大优值的性能缩放算法与相应一组参数的相应组合以产生性能缩放时间表上的点的逻辑;以及
经配置以将所述性能缩放时间表传送到所述移动装置的逻辑。
15.根据权利要求14所述的计算机程序产品,其进一步包括:
经配置以确定何时存在额外测试情况的逻辑,所述测试情况由相应性能缩放算法、相应一组参数和相应使用情况的组合界定,所述逻辑进一步经配置以重复地调整所述受测移动装置,确定所述性能度量和所述电力度量,且产生相应优值。
16.根据权利要求14所述的计算机程序产品,其中所述性能缩放算法包括适合控制多个处理器核的执行的负载平衡算法。
17.根据权利要求14所述的计算机程序产品,其中所述组使用情况将待在所述移动装置上执行的预期任务识别为时间百分比。
18.根据权利要求14所述的计算机程序产品,其中所述优值包括所述性能度量与所述电力度量的乘积。
19.一种用于优化在移动装置上操作的一组性能缩放算法的配置的方法,所述方法包括:
存储适合在所述移动装置上执行的一组性能缩放算法;
使适合所述组性能缩放算法的相应成员中的每一者的一组参数与所述组性能缩放算法的所述相应成员相关联以界定一组程序;
识别响应于待在所述移动装置上执行的任务的一组使用情况;
存储所述组程序中负责每一使用情况的最大优值的成员的指示以产生性能缩放时间表;
将所述性能缩放时间表存储在所述移动装置上;
检测特定使用情况何时在所述移动装置上在作用中;以及
在所述移动装置上选择性地应用性能缩放算法与所述组参数的组合。
20.根据权利要求19所述的方法,其中所述移动装置包括:
蜂窝式电话、寻呼机、个人数字助理、阅读器、卫星电话、导航装置或计算机中的一者。
CN2011800499387A 2010-09-16 2011-07-08 用于优化一组性能缩放算法的配置的***和方法 Pending CN103154854A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/883,449 US8320898B2 (en) 2010-09-16 2010-09-16 Systems and methods for optimizing the configuration of a set of performance scaling algorithms
US12/883,449 2010-09-16
PCT/US2011/043292 WO2012036780A1 (en) 2010-09-16 2011-07-08 Systems and methods for optimizing the configuration of a set of performance scaling algorithms

Publications (1)

Publication Number Publication Date
CN103154854A true CN103154854A (zh) 2013-06-12

Family

ID=44629304

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800499387A Pending CN103154854A (zh) 2010-09-16 2011-07-08 用于优化一组性能缩放算法的配置的***和方法

Country Status (6)

Country Link
US (1) US8320898B2 (zh)
EP (1) EP2616898A1 (zh)
JP (1) JP5568689B2 (zh)
KR (1) KR101448974B1 (zh)
CN (1) CN103154854A (zh)
WO (1) WO2012036780A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114391128A (zh) * 2019-09-27 2022-04-22 阿里云计算有限公司 功率管理方法和设备

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10491650B1 (en) * 2012-02-06 2019-11-26 Amazon Technologies, Inc. Monitoring performance of computing devices
KR20140016696A (ko) * 2012-07-31 2014-02-10 삼성전자주식회사 시스템 성능 평가장치 및 방법
US9465659B2 (en) 2012-12-19 2016-10-11 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Dynamic task completion scaling of system resources for a battery operated device
US9285858B2 (en) 2013-01-29 2016-03-15 Blackberry Limited Methods for monitoring and adjusting performance of a mobile computing device
KR102060703B1 (ko) * 2013-03-11 2020-02-11 삼성전자주식회사 모바일 시스템의 최적화 방법
US9348401B2 (en) * 2013-06-25 2016-05-24 Intel Corporation Mapping a performance request to an operating frequency in a processor
US9830626B1 (en) * 2014-02-28 2017-11-28 EMC IP Holding Company LLC Pre-calculated performance simulation
KR102599653B1 (ko) 2015-11-20 2023-11-08 삼성전자주식회사 냉각 알고리즘을 수행하는 집적 회로와 이를 포함하는 모바일 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6711447B1 (en) * 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US20040139302A1 (en) * 2002-11-12 2004-07-15 Arm Limited Performance level selection in a data processing system
US20040153867A1 (en) * 2003-01-03 2004-08-05 Mcalinden Paul Dynamic performance and resource management in a processing system
US20050097228A1 (en) * 2002-11-12 2005-05-05 Arm Limited Data processing system performance counter
US7111179B1 (en) * 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US20070245163A1 (en) * 2006-03-03 2007-10-18 Yung-Hsiang Lu Power management in computer operating systems

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7194385B2 (en) * 2002-11-12 2007-03-20 Arm Limited Performance level setting of a data processing system
US7050798B2 (en) 2002-12-16 2006-05-23 Microsoft Corporation Input device with user-balanced performance and power consumption
US7770034B2 (en) * 2003-12-16 2010-08-03 Intel Corporation Performance monitoring based dynamic voltage and frequency scaling
US7386739B2 (en) * 2005-05-03 2008-06-10 International Business Machines Corporation Scheduling processor voltages and frequencies based on performance prediction and power constraints
TW200805047A (en) 2005-12-23 2008-01-16 Koninkl Philips Electronics Nv Performance analysis based system level power management
US20080162918A1 (en) * 2007-01-02 2008-07-03 Nokia Corporation Hide boot
US7730340B2 (en) * 2007-02-16 2010-06-01 Intel Corporation Method and apparatus for dynamic voltage and frequency scaling
JP4353990B2 (ja) * 2007-05-18 2009-10-28 株式会社半導体理工学研究センター マルチプロセッサ制御装置
CN201319516Y (zh) 2008-11-26 2009-09-30 广州西格美信电子科技有限公司 用于移动设备的自适应外挂电池
US20100162256A1 (en) 2008-12-18 2010-06-24 Alexander Branover Optimization of application power consumption and performance in an integrated system on a chip

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7111179B1 (en) * 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US20040139302A1 (en) * 2002-11-12 2004-07-15 Arm Limited Performance level selection in a data processing system
US20050097228A1 (en) * 2002-11-12 2005-05-05 Arm Limited Data processing system performance counter
US20040153867A1 (en) * 2003-01-03 2004-08-05 Mcalinden Paul Dynamic performance and resource management in a processing system
US6711447B1 (en) * 2003-01-22 2004-03-23 Intel Corporation Modulating CPU frequency and voltage in a multi-core CPU architecture
US20070245163A1 (en) * 2006-03-03 2007-10-18 Yung-Hsiang Lu Power management in computer operating systems

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114391128A (zh) * 2019-09-27 2022-04-22 阿里云计算有限公司 功率管理方法和设备

Also Published As

Publication number Publication date
KR101448974B1 (ko) 2014-10-13
US20120071216A1 (en) 2012-03-22
KR20130044373A (ko) 2013-05-02
US8320898B2 (en) 2012-11-27
JP5568689B2 (ja) 2014-08-06
JP2013545158A (ja) 2013-12-19
EP2616898A1 (en) 2013-07-24
WO2012036780A1 (en) 2012-03-22

Similar Documents

Publication Publication Date Title
CN103154854A (zh) 用于优化一组性能缩放算法的配置的***和方法
CN103154853B (zh) 移动装置和用于披露和管理一组性能缩放算法的方法
CN107659828B (zh) 视频画质调整方法、装置、终端设备及存储介质
KR102202647B1 (ko) 데이터 통신 방법 및 그 전자 장치
US8973005B2 (en) Information processing apparatus, information processing method, recording medium and information processing system
CN103646656B (zh) 音效处理方法、装置、插件管理器及音效插件
CN104756095A (zh) 便携式计算设备中的中断等待时间门限和支持处理器的资源的动态调整
CN103858068A (zh) 用于根据泄漏电流测量值确定热管理策略的***和方法
CN108780349A (zh) 用于在具有异构集群架构的片上***中进行智能热管理的***和方法
CN102687096A (zh) 用于异步地并独立地控制多核中央处理单元中的核时钟的***和方法
CN103370671A (zh) 用于管理便携式计算装置的热策略的方法和***
US11157315B2 (en) Method for process management and electronic device
CN103797437A (zh) 用于管理异构多核处理器中的热能产生的***和方法
CN105554550A (zh) 视频播放方法及装置
CN107613315B (zh) 视频画质调整方法、装置、终端设备及存储介质
CN103125132A (zh) 用于测量移动设备上的工作负荷预测器的有效性的***和方法
CN107734356B (zh) 视频画质调整方法、装置、终端设备及存储介质
US7890779B2 (en) Method and apparatus for providing updated information using power control in portable terminal device
CN112689172B (zh) 节目播放方法、装置、机顶盒以及存储介质
US9112917B2 (en) Controller system and method therefor
CN110708747A (zh) 数据传输速率调整方法、***、存储介质及移动终端
CN102754045B (zh) 基于工作负荷请求而调谐动态时钟和电压切换算法的***和方法
CN104794139A (zh) 信息检索方法、装置及***
JP2008234475A (ja) 情報処理装置
CN113765886B (zh) 多媒体播放方法、装置、存储介质及电子设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned

Effective date of abandoning: 20160817

C20 Patent right or utility model deemed to be abandoned or is abandoned