CN102762764B - 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器 - Google Patents

具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器 Download PDF

Info

Publication number
CN102762764B
CN102762764B CN201080063349.XA CN201080063349A CN102762764B CN 102762764 B CN102762764 B CN 102762764B CN 201080063349 A CN201080063349 A CN 201080063349A CN 102762764 B CN102762764 B CN 102762764B
Authority
CN
China
Prior art keywords
gas
gas distribution
reactor
distribution plate
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080063349.XA
Other languages
English (en)
Other versions
CN102762764A (zh
Inventor
J·迈
B·斯特拉姆
G·瓦赫利
A·布切勒
T·舒尔茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Meyer Berg (germany) AG
Original Assignee
Roth and Rau AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Roth and Rau AG filed Critical Roth and Rau AG
Publication of CN102762764A publication Critical patent/CN102762764A/zh
Application granted granted Critical
Publication of CN102762764B publication Critical patent/CN102762764B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Abstract

本发明涉及一种电容耦合平行板等离子体增强型化学气相沉积反应器,该反应器包括被整合在RF电极中的气体分配单元,并包括气体出口。本发明的目的是提供所提及的类型的平行板反应器,利用该反应器能够生产具有厚度高均匀性和高品质的层。该目的是通过所提及的类型的电容耦合平行板等离子体增强型气相沉积反应器来达成的,其中该气体分配单元包括多级喷淋头,该喷淋头按照使其提供对该气体分配单元的气体分配和气体排放模式的独立调节的方式构造。

Description

具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器
本发明涉及一种电容耦合平行板等离子体增强型化学气相沉积反应器,该反应器包括被整合在RF电极中的气体分配单元,并包括气体出口。
电容耦合等离子体增强型化学气相沉积(PECVD)反应器通常被用来在基片(例如用于制造太阳能电池的半导体基片)上沉积薄膜。重要的是在基片表面具有高空间均匀性的情况下进行等离子体制造工艺。也就是说,沉积工艺应该以使得所沉积的材料在基片表面上的所有位置都具有均匀的厚度和品质的方式来进行。
此类平行板反应器的构思的特征在于平行板状电极的设置,其中这些电极被设置在气密、封闭并且温度受控的腔室中。该封闭的腔室与自身的抽真空***连接并且具有自己的气体供应。该平行板反应器也经常被用在配备有自己的抽气***的真空腔室中。
通常,使用不对称的RF电压来为在平行板构造中产生的等离子体提供电力。RF电压由RF发生器提供。通常,所使用的等离子体激发频率在13MHz~约80MHz的范围内。两个平行电极中的至少一个,特别是供应RF的电极,具有用来为该平行板反应器中的反应室供应气体的气体分配***。
平行板反应器的反应室主要由电极之间的空间尺寸和距离以及反应腔室的壁来界定。设置在RF电极的旁侧的所谓抽气网栅用于在排气方向上对反应室进行电分离。抽气网栅由导电材料构成且不透气。通常,以对置的方式放置两个抽气网栅。利用这种构造,可以对反应室进行相互对称的抽空。电极彼此间的距离根据技术需要来确定,且通常在约10mm~30mm的范围内。待处理的基片通常被置于接地电极上。
平行板反应器构思的技术优点在于存在封闭的、限定的反应室以及所供应气体的较小的可用气体缓冲小室。因此,从等离子体的点燃直至对等离子体化学反应的平衡状态的调节并且由此对在反应室中的稳态气体组成的调节之间的时间较短。这对于限定地沉积非常薄的层而言尤其重要。由等离子体沉积工艺的瞬态振荡行为形成的最终存在的层梯度由此将显著减小。
使用平行板反应器,能够容易地满足对清洁度的必要需求和对某些工艺的特殊要求。通过所造成的该平行板反应器与放置有该反应器的真空腔室之间的气体空间分离,在大气与处理压力之间实现了几个量级的压差。因此,能够大幅度降低分压,并由此大幅度减小大气对制备工艺的影响。此外,所使用的工艺气体在真空腔室和相邻腔室内的转向得到了阻止。
还非常有利的是能够独立于真空腔室中的周围空间而对平行板反应器进行单独清洁。通过通常是紧密组装的平行板反应器,可以对真空腔室进行良好的热隔离。反应器中所整合的壁加热器经设置用来对基片进行均匀的温度控制。
使用平行板反应器进行的表面处理的有效性实质上依赖于可能的工艺参数和对借此可实现的均匀性的要求。重要的工艺参数例如为等离子体激发频率、RF功率、工艺压力、总气体流速和所使用的气体的混合比。对于等离子体增强型化学气相沉积(PECVD),可获得的层沉积速率通常具有很高的重要性。层沉积速率主要受所使用的等离子体激发频率和由此使用的RF功率的影响。激发频率越高,等离子体中的电子和离子的密度就越高。同时,能够降低该电极构造上的燃烧电压,其中到达基片表面上的离子的能量也随之减小。此外,在更高的等离子体激发频率下,所使用的气体的解离或碎裂更强烈,由此,尤其是能够达到更高的沉积速率。
已经开发了许多用来改进等离子体制造工艺的空间均匀性的设计。一些设计,例如美国专利申请2009/0159423A1,专注于形成均匀的等离子体密度,因为等离子体密度的不对称性是不合需要的,原因在于该不对称性会在基片上进行的等离子体工艺中产生相应的不对称性。此外,有必要在等离子体腔室中提供均匀的气体分布,该分布可以通过所谓的喷淋头电极实现。该喷淋头由一个或多个带有多个孔的气体分配板或扩散器构成,其中所述多个孔在喷淋头内形成多个气体出口通路。这种喷淋头将RF电极功能和气体分配功能结合在一个单元中。
由于在喷淋头电极的板中存在这些孔,取决于通过这些孔的气体流动速度和这些孔的截面,在借助喷淋头沉积在基片上的层上,经常存在气体分配板中的孔分布的“图像”。也就是说,形成了带有波状表面的沉积层,其中波峰直接在孔下形成。
此外,已知的反应器通常经受反应器周围的不完全遮蔽的影响,导致颗粒不必要地钻入反应室器腔室内。
因此本发明的目的是提供以上所提及的类型的电容耦合平行板等离子体增强型化学气相沉积反应器,使用该反应器能够生产具有厚度高均匀性和高品质的层。
该目的通过下述电容耦合平行板等离子体增强型气相沉积反应器来达成,该反应器包括整合在RF电极中的气体分配单元,并包括气体出口,其中该气体分配单元包括多级喷淋头,该喷淋头按照使其提供对该气体分配单元的气体分配和气体排放模式的独立调节的方式构造。
平行板反应器的沉积区域中的气体分布主要取决于新鲜气体的气体供应的实际条件以及不参与形成其他层的所用气体或所用气体的分解产物的气体排出的具体条件。如上所述,在平行板反应器中所使用的已知的喷淋头中,气体排放模式直接取决于各气体分配单元所提供的气体分配,并造成所沉积的层的上述波状表面轮廓。相比之下,本发明提出了包括喷淋头构造的气体分配单元,该构造将气体分配与气体排放模式的形成分开,因此能够彼此独立地一方面调节气体分配而另一方面提供某种气体排放模式。这产生了均匀的层沉积,尤其是在薄膜的情况下。
优选的是,本发明的构思可以通过下述电容耦合平行板等离子体增强型气相沉积反应器来实施,该反应器包括整合到RF电极中的气体分配单元,并包括气体出口,其中,该气体分配单元在穿过该反应器的气体流动方向上包括至少一个有孔的第一气体分配板和与该第一气体分配板间隔开的至少一个有孔的第二气体分配板,该第二气体分配板上的孔被构造为具有比该第一气体分配板中的孔更大的截面,并且,在该第一气体分配板的多个单孔或多组孔与该第二气体分配板之间设置有分开的气体缓冲小室,其中该气体缓冲小室将第一气体分配板的所述多个单孔或多组孔分别与第二气体分配板连接起来,其中该气体缓冲小室被构造为具有比该第二气体分配板内的孔更大的截面。
在本发明中,凭借与沉积区域相对的局部调整的图案,且凭借喷淋头的各个板中气孔的各自尺寸,可以满足为了调节均匀的层沉积而对于气体供应的要求。根据本发明,以使得流过各气孔的气体量可根据等离子体工艺所需的整个气流来限制的方式确定气孔尺寸。因此,使用了电极内的对应气体缓冲区,所述气体缓冲区由第一气体分配板和第二气体分配板的孔之间的分开的气体缓冲小室形成,从而产生以下效果:气流可以对每个单独的气孔提供足够的气体量。
本发明能够提供一种有利的气体管理方式,并且由此可以调节在基片上所沉积的层的轮廓。喷淋头的第一气体分配板由于其小孔而充当具有低气体传导性的板,从而使从该第一板的孔中逸出的气流具有相对小的气流直径。如果该气流直接冲击到基片的表面,则基片上位于气流之下的区域将沉积有比其他区域更厚的层。
根据本发明,气流不会直接地冲击基片的表面,而是流到分别与第一气体分配板的各个孔对应的气体缓冲小室内。气流分布在由该第一板的各个孔之后的对应的气体缓冲小室所提供的空间内,从而造成气流直径的扩大。由于气体缓冲小室连接了第一和第二气体分配板的孔,气流随后穿过喷淋头的第二气体分配板内的孔。第二气体分配板优选与第一气体分配板平行,并且凭借在第二气体分配板内形成的更大的孔而具有比第一气体分配板更高的气体传导性。因此,这种良好分配的气体以大扩散角度和高均匀性而流过第二气体分配板中的孔。
通过适当地选择第一和第二气体分配板的气流传导性,可以对离开第二气体分配板的孔的气流按照使得从第二气体分配板的相邻孔逸出的部分气流重叠并且在下面的基片表面上形成厚度非常均匀的层的方式进行调节。通过将气体缓冲小室彼此分隔开,进一步实现了以下效果:从第一气体分配板的孔逸出的气流不发生不合需要的互相混合。
本发明的原理在喷淋头具有多于两个的气体分配板时和在将第一板和/或第二板的多个孔结合形成多组孔时都仍然有效。
本发明的反应器的方式可以以下述方式实现:第二板内的孔被构造为具有比第一板内的孔更大的截面,并且气体缓冲小室被构造为具有比第二板内的孔更大的截面。在这种构造中,气体缓冲小室具有能够通过钻孔即可构造的圆柱形式。在本发明的其他实施方式中,气体缓冲小室的侧壁可以是倾斜的,这样使得气体缓冲小室在第一板附近有较小的直径而在第二板附近有较大的直径。在任何情况下,气体缓冲小室都足够大并足够长,从而允许气流在气体缓冲小室内发生气球状膨胀并且使气体缓冲小室内具有良好的气体分布,使得能够将气体以高均匀性近乎直线地引导穿过所述第二板内的大孔而到达基片上。
可以容易地将第二气体分配板内的孔的孔径与第一气体分配板内的孔的孔径之间的关系调整为符合反应器和沉积层参数各自的要求。
根据本发明的另一个实施方式,第一气体分配板具有的气流传导性使得其能够造成气压降低,这种气压降低对于凭借第一气体分配板来实现气体阻挡作用是必需的。为了实现这一点,对第一气体分配板的各个气孔的气流传导性和所有孔的整体气流传导性以使得在气体分配单元上产生适当的气压降低的方式进行调节。应当将这种气压降低调节成使得对各个孔都实现真空技术中已知的气体阻挡作用。
气体阻挡作用也被称为受阻流动,其可以在真空箱的空气通风过程中观察到。在通气阀开放的过程中,空气从环境中以某一压力高速流到该箱中。该速度可以达到其最大声速并且流过该处的量与箱的内部压力无关。为了实现本发明中的这种作用,因此可取的是将第一气体分配板的孔构造为具有下述截面,所述截面使得在反应器的运行过程中流过这些孔的气体达到声速。优选的是,以使得气体阻挡作用将在整个气流的全部变化区域中和所有可能的过程的处理压力下得到保持的方式确定气孔尺寸。
在本发明的一个优选实施方式中,为了实现该阻挡作用,第一气体分配板包括具有限定的孔排布的有孔箔片。
为了对该有孔箔片进行适当的固定,在该实施方式的进一步扩展中,可以使用另外的有孔板。该另外的有孔板可以用作所述有孔箔片的掩模,从而用其来实现对气体分布和对箔片中选定的孔的整体气流传导性的独立调节。
第一和第二气体分配板可以由彼此叠置的两个以上单独的板构成,由此使得通过第一和/或第二气体分配板的特殊构造,不仅可以形成气体分配孔而且还可以形成孔之间的气体缓冲小室。
此外,第二气体分配板的孔可以配备有在气体逸出侧和/或气体进入侧的埋头孔。这种埋头孔可以用于适当地调节气体分配单元的气体排放模式。
根据本发明的另一实例,第二气体分配板的孔密度在其边缘处、在靠近分别设置在RF电极的旁侧的抽气网栅的区域中比第二气体分配板的中央部分更高。这样,气流在板的边缘处更直接且更强烈。增加边缘处的流动有助于补偿因气体与边缘的摩擦而造成的能量损失,这保持了流动的和谐运动。
此外,可以有效的是,在该反应器的气体出口方向上在气体分配单元的外边缘处设置气体分配板的另外的数排孔。
通过对单个的气孔进行优化的尺寸设置和排布,使各气孔中的气体流速根据整体气流而变化。这种作用同时影响气孔的气体逸出模式。依赖于气体粒子的流动速度的量值和电极彼此间的距离,在气孔区域中可能出现基片上的局部层厚度变化。在这种情况下,可以有必要控制其他的工艺参数。
为了将所使用过的气体从沉积区域均匀地移除,在反应器的气体逸出方向上,经过设置在反应器的RF电极的旁侧的抽气网栅而均匀地排放气体是必要的。通常,这将凭借在穿过反应器的气体流动方向上在抽气网栅之后设置的多个气体排放装置来实现,或凭借允许进行流动校正的扩展装置来实现。在高气流量和短电极距离下,在抽气网栅的方向上,能够出现依赖于相应的工艺压力的显著压降。凭借高电极尺寸并因此凭借气体粒子至电极边缘以及至气体出口的长路径,也能够降低可获得的层厚度均匀性。为减小这个问题,在平行板构造的放电间隙处可以使用双侧气体排放,并且可以调整电极之间的间隙使之满足相应的技术要求。
在本发明的一个具体实例中,在分别设置于RF电极旁侧的抽气网栅与反应器的气体出口之间,设置有沿穿过反应器的气体流动方向延伸的气体抽空通道。气体抽空通道提供了位于抽气网栅之后的气体强制单元,凭借该单元可以避免气体向反应器的气体逸出孔口直接流动。以此方式,可以在抽气网栅的背面提供新的气流管理方式,从而提供了在平行板反应器的整个沉积区域上实现几乎完美的沉积均匀性的可能性。
在该实施方式的一个变化形式中,抽空通道由沿穿过反应器的气体流动方向设置在抽气网栅之后的若干个平行的气体偏转器形成。气体偏转器迫使气体细长笔直地流向气体出口。使用气体偏转器是一种用于显著减小等离子体内的气流扰动的方法。
此外,已经表明,为了减小汇聚的气流线路对等离子体均匀性的影响,抽气区域应具有一定长度。在本发明中将通过对气流施加力而减小该长度,以获得所需的方向上的直流。在本发明的该实例中,通过在气体离开反应器的路径上使用气体偏转器来施加该力。也就是说,这些气体偏转器并不干扰在反应器处理室内的反应和层的形成。抽气区域的减小导致了给定电极区域中反应器痕迹的减少。
在本发明的一个替代实施方式中,抽空通道可以整合到反应器的至少一个壁内,以提供相对较长的抽气区域。在这种情况下,尤其可取的是提供从反应器顶部进行的抽气。通过这种设计,可以延长抽气网栅与抽气孔口之间的气体路径长度,同时可以使针对此附加气体路径的沉积平面中的额外尺寸最小化。因此,所提出的新的气体排放设计使得可以显著改进给定沉积区域中的反应器痕迹而不会降低通过抽气网栅与气体出口之间的长路径长度实现的优异的沉积均匀性。
在本发明的这个实施方式的优选形式中,气体偏转器包括在穿过反应器的气体流动方向上设置于抽气网栅之后的数个平行板。这些板可以是安装用来推动气体在所需的流动方向上流动的矩形板。使用板形偏转器使得能够在长距离上明确并且容易地引导气流。因此,使用偏转器使得可以避免因流向抽气孔口的汇聚气流线路而造成的等离子体内的任何气流扰动。在不使用这些偏转器时,抽气区域必须长至足以减小流向抽气孔口的汇聚气流线路对等离子体均匀性的影响。因此,使用偏转器使得可以通过避免较大的抽气区域来减小给定电极区域中的反应器痕迹。高度定向的气流的实现取决于这些板的长度。通过增加这些板的长度,可以获得更好的气流定向。
在本发明的另一选择中,在反应器的抽气网栅和气体出口之间设置至少一个另外的网栅,与抽气网栅相比,所述另外的网栅具有降低的气流传导性。具有更小的气流传导性的另外的网栅使得能够保持由抽气网栅产生的气流的方向并且提高其准确度。
优选地,该另外的网栅的整体气流传导性使得该网栅能够在预设的气体流速下产生对于实现上述气体阻挡作用所必需的气压降低。此外还可以对反应器的抽气网栅进行尺寸调节,使得在预设的气体流速下通过抽气网栅提供所述气体阻挡作用。
在本发明的一个优选的形式中,反应器的气体逸出孔口设置在沉积平面中或在反应器顶部。在沉积平面内设置逸出孔口是获得因偏转器和逸出孔口之间的非常短的距离而引起的定向流动的最佳方法。在顶部安装逸出孔口在其与入口孔口之间提供了非常长的距离,这可以起到在此距离上对流动进行再引导的作用。
在用平行板反应器进行大规模的薄层沉积的情况下,可实现的沉积均匀性主要受在平行电极构造之间的沉积区内的等离子体和气体分布的影响。这种等离子体分布强烈地依赖于电极处的均匀的电压和电流分布。根据电极的尺寸和所使用的等离子体激发频率,通过对RF电源位置或者(在有多于一处使用RF源的情况下)对RF电源装置的位置的技术性选择,普遍可以调整等离子体形成的均匀性使之满足相应要求。通过在电极构造的边缘区域中接地侧壁对RF电极的增加的电影响,可以在该RF电极和接地电极之间形成均匀的电场,从而造成对该基片的不均匀的表面处理。这种效应可以通过改变RF电极的边缘几何形状来减小。
为了这个目的,在本发明的一个实施方式中,喷淋头包括多个伸长的竖直的侧壁,这些侧壁形成了RF电极的竖直围壁。这种由喷淋头的伸长的竖直侧壁或楔形侧壁形成的准局部边缘凸起导致了在平行板构造的边缘区域中RF电极平面与接地电极平面之间的平面部分的更高对称性。
除了这种竖直的边缘凸起,在本发明的一个较为相似的变化形式中,喷淋头可以包括伸长的楔形侧壁。这样,从该RF电极的内平面在边缘凸起的方向上可以形成倾斜的转变。因此,可以减小形成气体涡流(尤其是在气体传输方向上)的危险。
下面更详细地描述了本发明的优选的实例,其中
图1示意性地显示了根据本发明的一个实施方式的电容耦合平行板反应器的剖视图;
图2示意性地显示了根据本发明的一个实施方式的电容耦合平行板反应器的气体分配单元的截取图;
图3示意性地显示了根据本发明的第二实施方式的电容耦合平行板反应器的另一气体分配单元的截取图;
图4示意性地显示了根据本发明的第三实施方式的电容耦合平行板反应器的又一气体分配单元的截取图;
图5示意性地显示了根据本发明的另一实施方式的电容耦合平行板反应器的气体分配板的孔分布的俯视图;
图6示意性地显示了根据本发明的又一实施方式的电容耦合平行板反应器的抽气网栅处的区域的俯视图;
图7示意性地显示了根据本发明的再一实施方式的电容耦合平行板反应器的剖视图;
图8示意性地显示了根据本发明的另一实施方式的电容耦合平行板反应器的抽气网栅处的区域的剖视图;并且
图9示意性地显示了根据本发明的另一实施方式的电容耦合平行板反应器的另一抽气网栅处的区域的剖视图。
图1示意性地显示了根据本发明的一个实施方式的电容耦合平行板反应器1的剖视图。在所描述的实例中该平行板反应器1是用于等离子体增强型化学气相沉积(PECVD)的大面积平行板反应器。该反应器1置于真空腔室6中。
反应器1包括包含气体分配单元10的RF电极2。气体分配单元10被形成为所谓的喷淋头并且与一个或多个气体通路相连。根据本发明,气体分配单元10具有特殊的重要性。它显著地影响反应器1内的等离子体处理的均匀性。在所示实例中,气体分配单元10由彼此之间以短距离平行设置的第一和第二气体分配板12、13组成,将参照图2至图4来对其进行更详细的说明。
RF电极2具有对称的构造并且可以连接到单个或多个供电器上。所述单个或多个供电器可以灵活地用作气体入口,用作该电极的冷却或加热通路和/或用作RF电极2的机械支架。在所示的侧视图中,RF电极2包括多个楔形边缘52。在该反应器的未示出的其他侧,RF电极2被形成为具有多个竖直伸长的边缘。利用RF电极2的对称性来减小或消除所谓的电报式(Telegraph)不均匀性从而确保直至反应器侧壁的均匀沉积。在气体穿过反应器的抽气方向上利用RF电极2的楔形边缘来在反应器1的等离子体内实现非湍流的气体流动,而RF电极2的壁的竖直伸长的边缘优选在水平侧面上,即不在抽气方向上,从而避免直至反应器的侧壁的任何等离子体变化。
在反应器1的底部51处,将放置基片5以在其上沉积至少一个层。底部51和电极2以间隔S彼此隔离开并且与设置在电极2旁侧的抽气网栅4a、4b一起限定了等离子体室9。电极供应源3同时充当RF供应源和气体供应源。电极供应源3是电绝缘的,并且以真空密封的方式整合在反应器1和真空腔室6中。
气体缓冲器7确保了对气体分配单元10的单个气孔的连续气体供应,且在气体缓冲器7内没有显著的压差。真空腔室6包括用于与抽真空***相连接的抽气孔口11。进一步示出的抽气孔口8a、8b用于将所使用过的工艺气体排出等离子体室9。抽气孔口8a、8b与单独的抽真空***连接,并且根据本发明将如图1所示设置在沉积平面中或如图7所示设置在反应器的顶部上。真空腔室6内的气体压力通常是在约10-1Pa和<10-4Pa的范围内。平行板反应器1内的工艺压力为约1Pa至约数百Pa。
如上所述,限定性地提供新鲜气体以及所述气体的限定性分配对于在平行板反应器(如在图1的反应器1中)中的PECVD工艺而言非常重要。另一方面,所需的新鲜气体分配由技术要求和等离子体室9的实际尺寸来确定。所述技术要求包括:使处理后基片达到一定品质所必需的处理条件,对于处理均匀性的要求,和对处理速度的要求。所述处理条件由所选定的工艺参数来限定。重要的工艺参数是所使用的气体的数目和类型、各种气体的气体流速、随其调整的总气体流速、工艺压力以及电气工艺参数。电气工艺参数包括等离子体激发频率、等离子体所使用的有效电功率以及具体的电气工艺条件,例如,如果将连续的或脉冲式的电力用于等离子体形成。
凭借本发明中所用的气体分配单元10,能够有利地实现根据技术要求对气体分布的必要调整。图2至图4示意性地显示了在图1中标记的区域100的几个可选实现方式的放大的截取图。
图2显示了具有在顶部上彼此叠置的两个气体分配板12、13的变化形式。第一气体分配板12具有限定的孔排布,该排布具有单独的孔14和限定的气体传导性值。第一气体分配板12的作用是进行气体分配同时对在该第一气体分配板12上的限定压降进行调整。由此,与等离子体室9中的工艺压力相比,在气体缓冲器7中产生了过压。该过压取决于穿过第一气体分配板12的整个气流。
如果该压降足够大,会发生所谓的气体阻挡作用。在这种情况下,流过第一气体分配板12的每个孔14的气体量仅由初始压力确定。
在各个孔14内的气体粒子的流速随着通过每个孔14的气流而变化,由此气体排放模式也发生变化。此问题通过第二气体分配板13来解决。在第二气体分配板13内形成了气体缓冲小室15。对气体缓冲小室15的尺寸以使得气体缓冲小室15的空腔能够确实地将从孔14流入其中的气体保持住而不形成明显的反压的方式进行调节。气体缓冲小室15彼此之间相互密闭,使得在气体缓冲小室15之间预计不可能存在气体交换。由于与孔14相比气体缓冲小室15的截面得到扩大,在气体缓冲小室15内的气体粒子的速度也明显降低。
与基片5相对的第二气体分配板13包括与气体缓冲小室15相连的孔16。孔16使得可以对气体排放模式进行容易的调节。每个孔16的气体排放模式可以如下进行设置:通过对孔16的长度和截面进行限定,以及借助于在气体逸出侧和/或气体入口侧上的孔16的额外的埋头孔,或者凭借孔径的连续变化或阶梯式变化。
所述气体分配单元10的发明性构造使得可以对气体分布和气体排放模式进行独立的调节。
第二气体分配板13可以由两个以上单独的有孔板或箔片构成。优选的是,每个所述板或箔片具有限定的孔排布,所述孔具有限定的直径。各个板或箔片的厚度决定对应的孔长度。
图3示意性地显示了图2的构造的进一步的发展。在图3中用箔片18来代替第一气体分配板12来对气压降低进行限定性调节,以提供上述气体阻挡作用。箔片18由有孔板17固定,有孔板17提供了箔片18中的孔20的限定位置和密封。此外,有孔板17可以预先限定通过箔片18的气体路径或在箔片18中的孔20的数目,这样可以有效地限定箔片18的整体气体传导性。为了这个目的,有孔板17可以用作箔片18的掩模。气体仅可以流过箔片18的下述孔20:在所述孔20上设置了有孔箔片17的孔19。对于这种情况,有利的是,箔片18可以借助孔20的相同的密度和尺寸而形成为具有相对简单并且均匀的孔20的图案。因此,可以独立于气体分配而对第一气体分配板构造的整体气体传导性进行容易的调节。
第二气体分配板13’、气体缓冲小室15’和孔16’具有与图2中的第二气体分配板13、气体缓冲小室15和孔16相同的功能。
图4示意性地显示了在本发明的反应器1内可使用的气体分配单元的另一种变化形式。如图4中所示,此处使用箔片22与有孔板21一起来调节气体阻挡作用。与图3相比,图4的箔片22的每个孔26并非都具有一个对应的气体缓冲小室。而是箔片22的若干孔26开放通入共用的气体缓冲小室25。该气体缓冲小室25可以是大孔或特定几何形状的凹口。图4示例性地显示了箔片22的两个孔26的组合,这两个孔26排放到共用的气体缓冲小室25内。
在所示的实例中,在基片5这一侧上,气体缓冲小室25与第二气体分配板23的三个孔27相连。该气体分配单元的变化形式显示了以下可能性:在基片侧的第二气体分配板上复制入口侧的第一气体分配板的孔排布在本发明中不是绝对必需的。因此,存在着不依赖于气体阻挡作用而改变孔密度的可能性。基片5这一侧上有待组合的孔的数目以及具体的孔排布视相应的技术要求而定。
图5示意性地显示了如图1中所示的RF电极2的第二气体分配板13或气体分配单元10的各自从基片5一侧观看的仰视图。该第二气体分配板13包括孔16的排布密度相对较低的中央区域28和孔密度较高的周围区域29。在气体的抽空方向上,另外提供了数排30a、30b的孔16。利用与RF电极2的选定区域相关的孔密度变化,可以将必需的新鲜气体的供应调整为符合等离子体处理中的局部气体消耗要求。例如,这可实现对基片电极的边缘区域内的层特征进行修正或提高边缘区域内的沉积均匀性。
除了新鲜气体的限定供应之外,所使用的气体的限定排放对于等离子体处理的品质和均匀性也非常重要。
图6示意性地显示了穿过平行板反应器(如图1或图7的反应器)而切割得到的视图的一半,其中该切割是以平行于该RF电极2的方式通过等离子体室的一部分和抽气网栅来完成的。图6显示了抽气网栅31、抽气孔口34和由壁33隔开的若干抽气通道32。排出的气体在若干抽气通道32上的分布显著地提高了从等离子体室排出的气体的均匀性,因为抽气通道32避免了对等离子体内的气流的干扰。为了在抽气网栅31的区域中获得均匀的抽气结果,在直至抽气孔口34之前为抽气通道32提供相同的气体传导性是决定性的。气体传导性由抽气通道32的截面和长度来限定。大量的抽气通道32促进了排放到抽气孔口34的气体的均匀性。
图7示意性地显示了本发明的平行板反应器1’的壁35、35’内紧密整合的抽气通道42a、42b的另一种变化形式。在这个变化形式中,工艺气体由电极供应源37供应至RF电极36的气体缓冲器38内。气体流过RF电极36的整合气体分配单元39进入处理室40。之后,通过分别设置在RF电极36的旁侧的抽气网栅41a、41b将气体从处理室40抽出。为了这个目的,在所示出的实例中,设置在反应器1’顶部的抽气孔口与合适的抽气***相连。
随后,所述抽气***的真空泵的输出通过抽气通道42a、42b传导至抽气网栅41a、41b。如图6中所示,抽气通道42a、42b由若干单独的通道形成。凭借通过平行板反应器1’的壁35、35’的气体排放,抽气通道42a、42b可以形成为非常节省空间和紧密。可以调整气体排放的方向使之适合于反应器1’的具体设计。
在图7所示的实例中,气体排放被引导至反应器1’顶部的靠近RF电极36中央的位置。在本发明的其他未示出的实施方式中,还可以将气体引导至平行板反应器的底部的区域或者侧壁。然而,后者的替代方案具有以下缺点:必须对抽气通道进行费力的处理,并且必须将泵送通道制成具有一定的最小长度。
图8示意性地显示了本发明的平行板反应器的两幅截取图。上图示出了竖直剖面的一半,下图是通过反应器的等离子体室48的剖面的俯视图。RF电极50、抽气网栅44和另外的网栅45配备在该反应器的底部49和上壁47之间。RF电极50和底部49形成了等离子体室48。在气体的抽空方向上,凭借抽气网栅44对等离子体室48进行限制。该另外的网栅45被安排在图8的箭头所示的气体抽空方向上,并且直接位于抽气网栅44之后。
该另外的网栅45的限定配置使该另外的网栅45具有限定的气体传导性,并依赖于整体气流,可以在该另外的网栅45上实现限定的压降。例如,可以使该另外的网栅45形成为具有限定数目的适合的孔或缝隙,且所述孔或缝隙具有限定的气体传导性值。在该另外的网栅45上的压降导致出现气体阻挡作用的情况下,抽气网栅44同时造成了气体排放的均匀化。可以该另外的网栅45的材料适合于相应的机械和/或化学要求,因为对该另外的网栅45的电导率没有要求。
原则上,抽气网栅44也可以承担实现气体阻挡作用的功能。然而,这在沉积等离子体的工艺中是不利的,因为在这种情况下,抽气网栅45也会发生沉积。因此,产生了抽气网栅45的气体传导性的变化,从而导致了工艺参数的未限定的变化。图9示意性地显示了这一设置,其中图9的构造的类似细节如图8类似地所述。在这个构造中,抽气网栅46充当导气板,该板如图8中的另外的网栅45一样提供了气体阻挡作用,条件是图9的抽气网栅46由导电材料构成。
因此,在本发明的实施方式中,在新鲜气体供应过程中和在将所使用过的气体排出处理室的过程中,充分利用了气体阻挡作用。
本发明使得可以在基片上沉积具有厚度均匀性高的层,其中,特别是通过气体阻挡作用,可以很好地控制在气体进口和/或气体出口处的气流。本发明使得可以增加大面积沉积中的可用区域,并且减小给定产量所需的气体前体。结果是,能够减少源气体消耗以及沉积工具的痕迹,从而实现对拥有成本的改进。

Claims (12)

1.一种电容耦合平行板等离子体增强型化学气相沉积反应器(1,1’),所述反应器包括被整合在RF电极(2,36,50,50’)中的气体分配单元(10),并且包括至少一个气体出口(8a,8b;34;43a,43b),
其特征在于,
所述气体分配单元(10)包括多级喷淋头,所述喷淋头按照使其提供对所述气体分配单元(10)的气体分配和气体排放模式的独立调节的方式构造,
其中,所述气体分配单元(10)在穿过所述反应器(1,1’)的气体流动方向上包括至少一个有孔的第一气体分配板(12)和与所述第一气体分配板(12)间隔开的至少一个有孔的第二气体分配板(13,13’,23),所述第二气体分配板(13,13’,23)中的孔(16,16’,27)被构造为具有比所述第一气体分配板(12)中的孔(14,19,24)更大的截面,并且,
其中,在所述第一气体分配板(12)的多个单孔(14,19,24)或多组孔(14,19,24)与所述第二气体分配板(13,13’,23)之间设置有分开的气体缓冲小室(15,15’,25),其中所述气体缓冲小室(15,15’,25)将所述第一气体分配板的所述多个单孔或多组孔分别与所述第二气体分配板连接起来,其中所述气体缓冲小室(15,15’,25)被构造为具有比所述第二气体分配板(13,13’,23)内的孔(16,16’,27)更大的截面。
2.如权利要求1所述的反应器,其特征在于,所述第一气体分配板(12)具有的孔(14,19,24)的尺寸使得所述第一气体分配板能够产生气压降低,所述气压降低对于凭借所述第一气体分配板(12)来实现气体阻挡作用是必需的。
3.如权利要求1或2所述的反应器,其特征在于,所述第一气体分配板包括具有限定的孔排布的有孔箔片(18)。
4.如权利要求3所述的反应器,其特征在于,所述有孔箔片(18)由另外的有孔板(17)固定。
5.如权利要求1或2所述的反应器,其特征在于,所述第二气体分配板(13,13’,23)中的孔(16)包括位于气体逸出侧和/或气体进入侧上的埋头孔。
6.如权利要求1或2所述的反应器,其特征在于,所述第二气体分配板(13,13’,23)的孔密度在其边缘(29)处、在靠近分别设置在所述RF电极(2,36,50,50’)的旁侧的抽气网栅(4a,4b)的区域中比所述第二气体分配板(13,13’,23)的中央部分(28)更高。
7.如权利要求1或2所述的反应器,其特征在于,气体分配板(12;13,13’,23)的额外的数排(30a,30b)孔(14,16)沿所述反应器(1,1’)的气体出口(8a,8b;34;43a,43b)方向被设置在所述气体分配单元(10)的外边缘上。
8.如权利要求1或2所述的反应器,其特征在于,在分别设置于所述RF电极(2,36)的旁侧的抽气网栅(31;41a,41b)与所述反应器(1)的气体出口(34;43a,43b)之间,设置有沿穿过所述反应器(1,1’)的气体流动方向延伸的气体抽空通道(32;42a,42b)。
9.如权利要求8所述的反应器,其特征在于,所述气体抽空通道(32)由沿穿过所述反应器(1,1’)的气体流动方向设置在所述抽气网栅(31)之后的若干个平行的气体偏转器(33)形成。
10.如权利要求8所述的反应器,其特征在于,所述气体抽空通道(42a,42b)被整合在所述反应器(1,1’)的至少一个壁(35,35’)中。
11.如权利要求1或2所述的反应器,其特征在于,在分别设置于所述RF电极(2,36,50,50’)的旁侧的抽气网栅(44)与所述反应器(1,1’)的气体出口之间,设置有至少一个另外的网栅(45),所述另外的网栅(45)与所述抽气网栅(44)相比具有减小的气流传导性。
12.如权利要求11所述的反应器,其特征在于,抽气网栅(46)和/或权利要求11中的所述另外的网栅(45)具有的限定数目的孔或缝隙使得所对应的网栅(45,46)能够产生气压降低,所述气压降低对于凭借所述网栅(45,46)来获得气体阻挡作用是必需的。
CN201080063349.XA 2010-02-08 2010-07-09 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器 Active CN102762764B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP10401018.6 2010-02-08
EP10401018A EP2360292B1 (en) 2010-02-08 2010-02-08 Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
PCT/IB2010/053138 WO2011095846A1 (en) 2010-02-08 2010-07-09 Parallel plate reactor for uniform thin film deposition with reduced tool foot-print

Publications (2)

Publication Number Publication Date
CN102762764A CN102762764A (zh) 2012-10-31
CN102762764B true CN102762764B (zh) 2014-07-16

Family

ID=42194685

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080063349.XA Active CN102762764B (zh) 2010-02-08 2010-07-09 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器

Country Status (10)

Country Link
US (1) US9224581B2 (zh)
EP (1) EP2360292B1 (zh)
JP (1) JP5810448B2 (zh)
KR (1) KR101696333B1 (zh)
CN (1) CN102762764B (zh)
AT (1) ATE551439T1 (zh)
BR (1) BR112012019479B8 (zh)
MY (1) MY164472A (zh)
SG (1) SG182416A1 (zh)
WO (1) WO2011095846A1 (zh)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102012100927A1 (de) 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
DE102012103710A1 (de) 2012-04-27 2013-10-31 Roth & Rau Ag Modulare Durchlauf-Plasmabearbeitungsanlage
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
CN103966550B (zh) * 2014-04-17 2016-07-06 北京信息科技大学 用于薄膜沉积工艺的装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US9997336B2 (en) * 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR102315665B1 (ko) * 2019-08-19 2021-10-22 세메스 주식회사 기판 처리 장치
JP2022551152A (ja) * 2019-10-14 2022-12-07 ラム リサーチ コーポレーション 二重プレナムフラクタルシャワーヘッド
CN110565073A (zh) * 2019-10-20 2019-12-13 湖南玉丰真空科学技术有限公司 化学气相沉积镀膜设备布气装置
JP2023539064A (ja) * 2020-08-25 2023-09-13 ジュソン エンジニアリング カンパニー リミテッド 基板処理装置
WO2022087365A1 (en) * 2020-10-23 2022-04-28 Lam Research Corporation Integration of vapor deposition process into plasma etch reactor
CN112371452B (zh) * 2020-11-04 2022-03-18 上海华力集成电路制造有限公司 半导体制造工艺环境的调风装置
CN114059164B (zh) * 2022-01-06 2022-11-04 芯三代半导体科技(苏州)有限公司 一种碳化硅外延生长装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0717126A2 (en) * 1994-12-16 1996-06-19 Chul-Ju Hwang Apparatus for low pressure chemical vapor deposition
EP0853138A1 (en) * 1997-01-08 1998-07-15 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
CN1754008A (zh) * 2003-04-16 2006-03-29 应用材料股份有限公司 用于大面积等离子增强化学气相淀积的气体分配板组件
CN101460655A (zh) * 2006-03-30 2009-06-17 东京毅力科创株式会社 减少污染物的气体注入***及其使用方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6355A (ja) 1986-06-18 1988-01-05 山村硝子株式会社 ポリエチレンテレフタレート樹脂製瓶及びその製造方法
JP2541393B2 (ja) * 1991-04-10 1996-10-09 日新電機株式会社 プラズマ発生装置
JP3194017B2 (ja) * 1991-11-28 2001-07-30 東京エレクトロン株式会社 処理装置
JPH0930893A (ja) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd 気相成長装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP2001244256A (ja) * 2000-03-02 2001-09-07 Hitachi Ltd 処理装置
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
JP4502639B2 (ja) 2003-06-19 2010-07-14 財団法人国際科学振興財団 シャワープレート、プラズマ処理装置、及び、製品の製造方法
KR101276392B1 (ko) 2004-02-03 2013-06-19 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
KR100645184B1 (ko) 2004-11-18 2006-11-10 주식회사 엘지화학 열가소성탄성체를 이용한 탈pvc바닥재 및 그의 제조방법
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8343592B2 (en) 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0717126A2 (en) * 1994-12-16 1996-06-19 Chul-Ju Hwang Apparatus for low pressure chemical vapor deposition
EP0853138A1 (en) * 1997-01-08 1998-07-15 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
CN1754008A (zh) * 2003-04-16 2006-03-29 应用材料股份有限公司 用于大面积等离子增强化学气相淀积的气体分配板组件
CN101460655A (zh) * 2006-03-30 2009-06-17 东京毅力科创株式会社 减少污染物的气体注入***及其使用方法

Also Published As

Publication number Publication date
KR101696333B1 (ko) 2017-01-23
JP2013518989A (ja) 2013-05-23
WO2011095846A1 (en) 2011-08-11
KR20130001235A (ko) 2013-01-03
EP2360292A8 (en) 2012-02-29
MY164472A (en) 2017-12-15
US9224581B2 (en) 2015-12-29
BR112012019479B8 (pt) 2021-03-23
JP5810448B2 (ja) 2015-11-11
BR112012019479B1 (pt) 2021-02-23
SG182416A1 (en) 2012-08-30
EP2360292B1 (en) 2012-03-28
CN102762764A (zh) 2012-10-31
ATE551439T1 (de) 2012-04-15
EP2360292A1 (en) 2011-08-24
US20120304933A1 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
CN102762764B (zh) 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器
TWI482205B (zh) 具圓柱形進氣機構之mocvd反應器
US20080178805A1 (en) Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP2019517143A (ja) 半導体処理用のガス分配シャワーヘッド
JP5287850B2 (ja) プラズマcvd用のカソード電極、およびプラズマcvd装置
JP2007191792A (ja) ガス分離型シャワーヘッド
CN103789748A (zh) 一种面向工艺腔室气流分布调节的cvd设备喷淋头
JP2010518555A (ja) 均一な常圧プラズマ発生装置
JP5377749B2 (ja) プラズマ生成装置
JP2011086776A (ja) 薄膜形成装置
JP5013272B2 (ja) プラズマcvd用のカソード電極、およびプラズマcvd装置
CN103361636A (zh) 等离子体气相沉积双面材料局域生长装置和方法
KR101541154B1 (ko) 원자층 증착장치
TWI434951B (zh) Plasma film forming device and plasma film forming method
EP2471973A1 (en) Apparatus for forming deposited film and method for forming deposited film
TW201636449A (zh) 用於塗佈大型基板之裝置
CN106367736B (zh) 远端电浆增强化学气相沉积装置
TWI437120B (zh) 具有減小的工具足跡之用於均勻薄膜沉積的平行板反應器
CN104805417B (zh) 一种pecvd薄膜沉积的反应腔
CN104789947B (zh) 上电极结构及等离子体增强化学气相沉积装置
JP2011171541A (ja) Cvd装置
CN102477545B (zh) 进气装置和具有它的等离子体化学气相沉积设备
CN103757608A (zh) 一种用于调节温度和功率空间分布的梯度阻抗模块
CN103882397A (zh) 反应腔室和磁控溅射设备
JP5529509B2 (ja) Cvd装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Germany Horn Stein - Ernstthal

Patentee after: Meyer Berg (Germany) AG

Address before: Germany Horn Stein - Ernstthal

Patentee before: Roth & Rau AG