CN102728580A - Substrate cleaning apparatus and vacuum processing system - Google Patents

Substrate cleaning apparatus and vacuum processing system Download PDF

Info

Publication number
CN102728580A
CN102728580A CN2012100867864A CN201210086786A CN102728580A CN 102728580 A CN102728580 A CN 102728580A CN 2012100867864 A CN2012100867864 A CN 2012100867864A CN 201210086786 A CN201210086786 A CN 201210086786A CN 102728580 A CN102728580 A CN 102728580A
Authority
CN
China
Prior art keywords
gas
substrate
wafer
base plate
periphery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012100867864A
Other languages
Chinese (zh)
Inventor
土桥和也
井内健介
清水昭贵
安田健太
吉野裕
相田敏广
妹尾武彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Iwatani Corp
Original Assignee
Tokyo Electron Ltd
Iwatani Sangyo KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Iwatani Sangyo KK filed Critical Tokyo Electron Ltd
Publication of CN102728580A publication Critical patent/CN102728580A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

A substrate cleaning apparatus includes a supporting unit, provided in a processing chamber having a gas exhaust port, for supporting a substrate; one or more nozzle units, each for ejecting gas clusters to a peripheral portion of the substrate supported by the supporting unit to remove unnecessary substances from the peripheral portion; and a moving mechanism for changing relative positions of the supporting unit and the nozzle unit during ejecting the gas clusters. Each nozzle unit discharges a cleaning gas having a pressure higher than that in the processing chamber so that the cleaning gas is adiabatically expanded to form aggregates of atoms and/or molecules.

Description

Base plate cleaning device and vacuum flush system
Technical field
The present invention relates to the technology of the periphery of cleaning base plate.
Background technology
In the manufacturing process of semiconductor device, studied semiconductor crystal wafer (below, be called wafer.) form mask against corrosion above that behind the laminated multilayer film, use this mask against corrosion and utilizing the pairing etching gas of each film on above-mentioned multilayer film, to form the operation of hole, groove on the independent Etaching device.In this series of processes, after forming multilayer film on the wafer, utilize wet type washing device to remove the film of periphery, on multilayer film, form the resist pattern afterwards.Therefore, when this wafer of etching, the periphery of wafer exposes base material, is silicon.Therefore, the silicon of the periphery of wafer part is exposed in etching work procedure and causes its surface to be cut, the acute angle projection crowd's who is deformed into needle-like intensive surface state.In addition, the periphery of the rear side of wafer is by plasma irradiating, therefore in the surface attachment of wafer and piled up by plasma and film and react and the reaction products such as for example polymer that generate, and becomes the principal element of undesirable particle generation.
Even desire utilizes plasma cleaning to remove to be formed at the acute angle-shaped jog (acus schooling up) of silicon of periphery of the face side of wafer; Also can have anisotropy owing to the plasma of clean air is difficult to remove; And, when utilizing brush to clean, can't wipe projection crowd T.In addition, in using CMP (Chemical Mechanical Polishing: chemical machinery cuts open light) method, though there is the such problem of surface contamination that makes wafer in the projection crowd that can prune.In addition; For the attachment of the periphery of the rear side that is attached to wafer; Owing to constitute by multiple material; So when carrying out dry cleaning (plasma cleaning), need change clean air according to the material of film, and handle but exist to be subject to the periphery of wafer and to be difficult to, cause the such problem of device zone damage of wafer.And, in wet-cleaned, also have identical problem, the problem that also exists the attachment removed to adhere to again.
On the other hand,, be formed at semiconductor substrate, the etching of its surperficial thin layer, planarization, do not put down in writing for the periphery of cleaning wafer though in patent documentation 1, put down in writing through not making gas-cluster ionization ground irradiation semiconductor substrate.
Patent documentation 1: No. 2010/021265, International Publication
Summary of the invention
The present invention makes under such background just, its purpose be to provide a kind of can be under the state that suppresses the negative effect of the effective coverage of substrate, the not technology at position of the periphery of cleaning base plate well.
Base plate cleaning device of the present invention is characterised in that to possess:
Maintaining part, it is set in the process chamber with exhaust outlet, is used to keep substrate;
Spray nozzle part for the not position of the periphery of removing the substrate that above-mentioned maintaining part keeps, and is used for this periphery irradiation cluster gas; With
Travel mechanism, it is used for when the irradiation of above-mentioned gas group bunch, making above-mentioned maintaining part and said nozzle portion relatively mobile,
Said nozzle portion makes the aggregate that cleans atom that adiabatic expansion forms purge gas or molecule, is cluster gas through the discharge pressure purge gas higher than the pressure in the above-mentioned process chamber.
In addition, vacuum flush system of the present invention possesses:
The vacuum carrying chamber, it is carrying substrate under vacuum environment; With
Vacuum process module, it is connected with this vacuum carrying chamber via separator valve, is used for the surface film that is formed at substrate is carried out dry-etching or on substrate, forms film;
The aforesaid substrate cleaning device is connected with above-mentioned vacuum carrying chamber via separator valve, is used for the periphery of the substrate after above-mentioned vacuum process module is by application of vacuum is cleaned.
The present invention is when the not position of the periphery of removing substrate; Substrate is relatively moved or rotation with respect to spray nozzle part; And supply with group's bunch (aggregate of atom or molecule) of purge gas to above-mentioned not position; The group of this purge gas bunch is through in than the low processing environment of the pressure in the spray nozzle part, discharges purge gas from spray nozzle part and forms.Can shine cluster gas partly, therefore even carry out as the not cleaning at position of removing object, also can be under the state of the pollution that suppresses substrate the periphery of cleaning base plate the and effective coverage in the device zone of substrate etc. not being impacted well.
Description of drawings
Fig. 1 is the vertical view of integral body of the vacuum flush system of expression embodiment of the present invention.
Fig. 2 is the longitudinal section of the summary of the employed base plate cleaning device of the above-mentioned embodiment of expression.
Fig. 3 is the vertical view of the summary of expression aforesaid substrate cleaning device.
Fig. 4 is the longitudinal section that expression is arranged at group's bunch nozzle (cluster nozzle) of aforesaid substrate cleaning device.
Fig. 5 is the longitudinal section of the summary of the above-mentioned group of expression bunch nozzle.
Fig. 6 is the piping diagram that the gas of the above-mentioned group of explanation bunch nozzle is supplied with the summary of system.
Fig. 7 is etched summary is carried out in expression to the multilayer film on the formation wafer in the above-described embodiment a longitudinal section.
Fig. 8 is the action diagram of explanation based on the planarization of the acus schooling up of the periphery 1st bunch of nozzle, wafer in this embodiment.
Fig. 9 is that explanation is based on the action diagram of removing 2nd bunch of nozzle in this embodiment, attachment.
Figure 10 is the vertical view of summary of the aforesaid substrate cleaning device in the variation of this embodiment of expression.
(a) of Figure 11 is the SEM photo on surface that the wafer that the surface in the embodiments of the invention is formed with the acus schooling up is carried out the wafer of cluster gas pre-irradiation; (b) be the SEM photo that the wafer that the surface in the embodiments of the invention is formed with the acus schooling up is carried out the postradiation surface of cluster gas.
The explanation of Reference numeral
The C cluster gas; The molecule of G gas and atom; P is attached to the attachment at the back side of wafer peripheral portion; T is formed at the acus schooling up of the silicon of wafer peripheral portion; The W wafer; 1 atmosphere carrying room; Vacuum carrying chambers 2; The carrying mechanism that 22 vacuum carrying are indoor; 3 Etaching devices; 31 the 1st vacuum chambers; 4 base plate cleaning devices; 41 the 2nd vacuum chambers; 42 electrostatic chucks; 45 exhaust outlets; 5 the 1st bunch nozzles; The angle-adjusting mechanism of 54 the 1st bunch nozzles; 6 the 2nd bunch nozzles; The angle-adjusting mechanism of 64 the 2nd bunch nozzles; 7 cleaning gas nozzles; 8 purge gas are supplied with system; 9 control parts
The specific embodiment
Fig. 1 is that expression has the figure as the vacuum flush system of the base plate cleaning device 4 of embodiment of the present invention.It is rectangular atmosphere carrying room 1 that this vacuum flush system has flat shape.Side's long side in atmosphere carrying room 1 is provided with and is used for that semiconductor (silicon) wafer W is moved into moving into of taking out of and takes out of mouth.Move into take out of mouth have take in a plurality of wafer W, carry be equipped with conveying container, be that FOUP a plurality of move into to take out of platform 13 and be arranged at and respectively move into the door 14 of taking out of platform 13.
And in atmosphere carrying room 1, take out of platform 13 opposition sides with moving into, via about two vacuum Sample Rooms (load lock), 15 (preparatory vacuum chambers) of configuration be that hexagonal vacuum carrying chamber 2 is connected with for example flat shape.Short brink in atmosphere carrying room 1 with have the alignment modules 16 that is used for wafer W is carried out the orienter (orienter) of contraposition and be connected.In atmosphere carrying room 1, have and be used for wafer W is taken out of the carrying mechanism 12 that joins between platform 13, vacuum Sample Room 15 and the alignment modules 16.
Vacuum carrying chamber 2 utilizes not shown vavuum pump with its indoor vacuum environment that remains, and is connected with the 1st vacuum chamber 31 of the processing environment that constitutes Etaching device 3 and the 2nd vacuum chamber 41 that constitutes the processing environment of base plate cleaning device 4.In addition, in this vacuum carrying chamber 2, have be used for carrying mechanism 22 that wafer W is joined between vacuum Sample Room 15, alignment modules 16, Etaching device 3 and base plate cleaning device 4.Wherein, the G1~G3 among Fig. 1 is the gate valve that constitutes separator valve.
In addition; This vacuum flush system has control part 9; The program of the storage part through being stored in this control part 9 and comprise processing method software, carry out wafer W carrying, each gate valve G1~G3 and door 14 switching and carry out processing and the adjustment of vacuum in each vacuum chamber 31,41.
As Etaching device 3; Can use known devices such as capacitive coupling plasma mode, dielectric coil plasma mode; Under the situation of capacitive coupling plasma mode, be constituted as, in vacuum chamber 31, make upper electrode, lower electrode opposed, between two electrodes, apply high frequency and come carry out plasmaization handling gas; Through apply bias voltage to lower electrode the ion in this plasma is directed into the wafer W on the lower electrode, carries out etching with surface to wafer W.
As shown in Figure 2, the 2nd vacuum chamber 41 of base plate cleaning device 4 has the electrostatic chuck 42 that is used for wafer W is adsorbed with flat-hand position maintenance.This electrostatic chuck 42 via rotating shaft 43 be fixed in the bottom of the 2nd vacuum chamber 41 travel mechanism, be rotating mechanism 44 supporting, the wafer W that absorption is kept rotates around vertical axis.
As shown in Figure 3, near the sidewall of the bottom of the 2nd vacuum chamber 41, be provided with the guiding piece 51 that extends along level (directions X among Fig. 3), and be provided with on one side and moved on to the moving body 52 that is driven by not shown ball screw framework and move on one side by this guiding piece 51.As shown in Figure 4, be provided with on the top of this moving body 52 along vertical direction (Z direction among the figure) and extend, and bend to the right angle, with the support unit 53 that extends along level (Y direction among the figure) with the mode of the bearing of trend quadrature of guiding piece in its front.Leading section at this support unit 53 is provided with 1st bunch of nozzle 5 by angle-adjusting mechanism 54 to be positioned at by the mode above the wafer W of electrostatic chuck 42 absorption maintenances.This angle-adjusting mechanism 54 is made up of the driving mechanism that comprises the motor with the rotating shaft 54a that extends along the Y direction, because 1st bunch of nozzle 5 is set at this rotating shaft 54a, therefore can utilize the irradiating angle of angle-adjusting mechanism 54 adjustment cluster gas.
As shown in Figure 5, the base end side that the 1st bunch nozzle 5 has 50, the 1 bunch nozzles 5 in balancing gate pit cylindraceous is connected with the 1st gas flow path 55a that is made up of each pipe arrangement and the 2nd gas flow path 55b.The base end side of the 1st gas flow path 55a is connected with chlorine trifluoride (ClF3) gas supply source 56, between them, is provided with the flow adjustment part 59a and the valve that for example are made up of mass flowmenter.In addition, the base end side of the 2nd gas flow path 55b is connected with argon gas (Ar) gas supply source 57, between them, is provided with the flow adjustment part 59b and the valve that for example are made up of mass flowmenter.And, though not shown, also be provided with the pressure gauge of the pressure in the detected pressures chamber 50, utilize flow control division 59a, 59b and pressure gauge can adjust the flow-rate ratio of pressure, ClF3 gas and Ar gas in the balancing gate pit 50.
ClF3 gas is the principal component from the cluster gas C of 1st bunch of nozzle 5 irradiation, and it can carry out physical property to the acus schooling up T of the silicon of the periphery of wafer W and chemical is pruned and planarization.In addition; For for the Ar gas of inert gas; The pressure of the supply area side through improving 1st bunch of nozzle 5 (in the balancing gate pit 50) increases the velocity of discharge of cluster gas C, as making boosting that its directive property, partial operation property improve use gas.And the pressure of the supply area side through making 1st bunch of nozzle 5 uprises, and the pressure in the 2nd vacuum chamber 41 are also uprised with above-mentioned supply area accordingly, helps the desired vacuum performance of reliever etc.In addition; In Fig. 2; The 1st gas flow path 55a and the 2nd gas flow path 55b are recited as pipe arrangement 55 altogether, and it is 8 that ClF3 gas supply source 56, Ar gas supply source 57, the flow adjustment part 59a, 59b, valve and the pressure gauge that are connected with them are recited as altogether that purge gas supplies with.It is the supply system of 8 2nd bunch of nozzle 6 employed purge gas stating after also comprising that this purge gas is supplied with.
In addition, as shown in Figure 5, the front of the 1st bunch nozzle 5 expands to horn-like.And the outlet of 1st bunch of nozzle 5 that is equivalent to the root unit position of this extension part becomes hole shape, apart from the surface height position of wafer W and to the peripheral horizontal of the wafer W of irradiation cluster gas C apart from being set to for example 10mm and 10mm respectively.As after state; The gas of discharging from 1st bunch of nozzle 5 is through by rapid decompression and adiabatic expansion; Utilize Van der Waals force to make atom, the molecule G be combined into of handling gas be aggregate (cluster gas) C, and irradiation is formed at the acus schooling up T of the periphery of wafer W.
On the other hand, the lower position of the wafer W in the 2nd vacuum chamber 41 is provided with 2nd bunch of nozzle 6 with the mode that can shine cluster gas towards the periphery of wafer W.As shown in Figure 3, the guiding piece 61 of the 2nd bunch nozzle 6 usefulness is configured to, and is opposed and parallel with guiding piece 51 across electrostatic chuck 42.And, be provided with to also have on one side by these guiding piece 61 guiding, by not shown ball screw framework driven and the moving body 62 that move on one side.Be provided with on the top of this moving body 62 along vertical direction (Z direction among Fig. 2) and extend, and bend to the right angle, with the support unit 63 that extends along level (Y direction among Fig. 3) with the mode of the bearing of trend quadrature of guiding in its front.Leading section at this support unit 63 is provided with 2nd bunch of nozzle 6 by angle-adjusting mechanism 64, to be located at the below of the wafer W that is kept by electrostatic chuck 42 absorption.This angle-adjusting mechanism 64 is the driving mechanisms that comprise the motor with the rotating shaft 64a that extends along the Y direction, because 2nd bunch of nozzle 6 is set at this rotating shaft 64a, therefore can utilize the irradiating angle of angle-adjusting mechanism 64 adjustment cluster gas C.
The structure of 2nd bunch of nozzle 6 is identical with 1st bunch of nozzle 5.Wherein, in 2nd bunch of nozzle 6, use ozone (O3) gas, hydrogen fluoride (HF) gas, these 3 kinds of purge gas of ClF3 gas as purge gas.Therefore; Shown in Figure 6 like side; The base end side branch and the supply that is connected to each purge gas that are connected in the pipe arrangement of 2nd bunch of nozzle 6 are 82,83,84; Utilizing valve to switch and separately using the gas supply of these each purge gas is that purge gas in 82,83,84, employed are supplied with system, and mixing Ar gas shines.81 is that Ar gas is supplied with system among the figure.
In addition; Like Fig. 2 and shown in Figure 3, in the 2nd vacuum chamber 41, be provided with cleaning gas nozzle 7 at the upper side of wafer W; This nozzle 7 is constituted as, the air-flow that makes cleaning gas such as Ar gas for example at the irradiation position place of cluster gas C from the central part outward circumferential part ground formation of wafer W.This cleaning gas nozzle 7 constitutes, and can move horizontally along guiding piece 71 directions X in Fig. 3 by support unit 73 and moving body 72, discharges cleaning gas with certain angle to the surface of the wafer W that is kept by electrostatic chuck 42 absorption.In addition, can also angle-adjusting mechanism be arranged at cleaning gas nozzle 7.It is 80 to be connected that this cleaning gas nozzle 7 is supplied with via pipe arrangement and the outer cleaning gas that is arranged at the 2nd vacuum chamber 41.It is 80 to be made up of cleaning gas supply source, flow adjustment part and valve that this cleaning gas is supplied with.
The exhaust outlet 45 of the bottom of the 2nd vacuum chamber 41 is connected with blast pipe 49, and this blast pipe 49 is provided with vavuum pump 47 via pressure adjustment part 46, can carry out the pressure adjustment in the 2nd vacuum chamber 41.
Then, the effect to above-mentioned embodiment describes.At first, will carry by the conveying container that the for example FOUP that takes in wafer W constitutes to place to move into and take out of platform 13, door 14 will be opened with the lid of conveying container.Then, the wafer W in the conveying container is transported to alignment modules 16 by the carrying mechanism 12 in the atmosphere carrying room 1, here, wafer W towards be adjusted to predefined towards.Then, wafer W is moved in the vacuum chamber 31 of Etaching device 3 via the carrying mechanism 22 in carrying mechanism 12, vacuum Sample Room 15, the vacuum carrying chamber 2.
Shown in Fig. 7 (a), at the surperficial range upon range of multilayer film of wafer W, and form mask 100 against corrosion above that, the resist and the multilayer film of the periphery of wafer W are removed, and the base material that has exposed wafer W is a silicon.Multilayer film is constituted as, and for example stacking gradually from downside, metal levels 103 such as silicon oxide layer layer 101, polysilicon layer 102, for example titanium, tungsten are organic film 104 then.In addition, the example of this multilayer film is nothing but an example of enumerating as model for invention is understood easily.In Etaching device 3, according to the kind of film, on one side treatment conditions such as hand-off process gas, pressure, utilize plasma to come the above-mentioned multilayer film of etching successively on one side, to form the pattern corresponding concave part with mask 100 against corrosion.Fig. 7 (b) is the sketch map of the wafer W after the expression etching finishes.As shown in the drawing, at the inclined plane part of the face side of the periphery of wafer W, be formed with and constitute the not projection crowd T of the needle-like at position, at the inclined plane part of its rear side, be attached with not position, the attachment P that constitute by the reaction product that generates when the etching etc.This attachment P is the duplexer of the reaction product corresponding with each film of multilayer film, in this example, is that the sequential cascade of fluorocarbons system (CF system) has each reaction product etc. from the plate face side of wafer W then by organic system, metal system, silicon system.
Then, this wafer W is moved in the 2nd vacuum chamber 41 of base plate cleaning device 4, is kept by electrostatic chuck 42 absorption, and utilizes the rotating mechanism rotation.And, utilize pressure adjustment part 46 with being maintained the for example vacuum environment of 1Pa~100Pa in the 2nd vacuum chamber 41, for example utilize flow adjustment part 59a, 59b with ClF 3Gas and Ar gas are supplied with to 1st bunch of nozzle 5 from gas flow path 55a, 55b respectively with the pressure of 0.3MPa~2.0MPa.The ClF of this moment 3The concentration of gas is set to through flow adjustment part 59a, 59b and for example counts volume %.At the CIF that in 1st bunch of nozzle 5, supplies with under the high pressure conditions of above-mentioned that kind 3Gas and Ar gas is owing to discharged by a gas in the vacuum of the 2nd vacuum chamber 41 in 1st bunch of nozzle 5, so adiabatic expansion and cause the temperature of gas to become below the condensing temperature, in this example, and Ar atom and ClF 3Molecule combines through Van der Waals force, with the aggregate that forms atom and molecule G, be cluster gas C.
This cluster gas C from 1st bunch of nozzle 5 to the axial advancement of 1st bunch of nozzle 5 and be released out (Fig. 8 (a)), towards the acus schooling up T of the periphery that is formed at wafer W and from the direction that tilts and the surface collision of inclined plane part.Like this, cluster gas C is decomposed into other gas molecule and atom G, and gas molecule after this dissociates and atom G are more to be flown (Fig. 8 (b) and (C)) near the angle parallel with the surface than the irradiating angle of cluster gas by bullet.And; This gas molecule and atom G collide also and pasc reaction with the projection of the acus schooling up T that is formed on the surface; Two sides through physical shock and chemical reaction act on like this; The front ends of acus schooling up T is cut, and the face side of the inclined plane part of the periphery of wafer W is by smoothing (Fig. 8 (d)).At this moment, silicon microparticle disperses from the surface element of wafer W, and is discharged to the peripheral direction of wafer W by the cleaning gas that blows out from cleaning gas nozzle 7, and atom, the molecule of the gas that decomposes with wafer W collision are discharged from blast pipe 49.Fig. 8 is that expression utilizes cluster gas C the acus schooling up T of the periphery that is formed at wafer W to be carried out the sketch map of the appearance of planarization.Situation about being flattened through irradiation cluster gas C acus schooling up T like this, will after confirm in the experiment stated.
On the other hand, also be that planarization with above-mentioned acus schooling up T is carried out simultaneously based on removing of the attachment P of 2nd bunch of nozzle 6.For the illuminate condition of the cluster gas such as volumetric concentration of pressure in air pressure in 2nd bunch of nozzle 6, the 2nd vacuum chamber 41, the 2nd bunch nozzle 6 and purge gas, all the condition with above-mentioned 1st bunch of nozzle 5 is identical in described below any gaseous species.At first, shown in Fig. 9 (a), carrying out fluorocarbons is removing of attachment (CF is an attachment) P4.For example utilize the flow adjustment part that O3 gas is adjusted into the flow of regulation and imports 2nd bunch of nozzle 6.On the other hand, after also for example Ar gas carries out the flow adjustment through the flow adjustment part to inert gas, import 2nd bunch of nozzle 6.O3 gas mixes with Ar gas in 2nd bunch of nozzle 6 and is diluted.And,, and its attachment P with the periphery at the back side that is attached to wafer W is collided from bunch C of group of 2nd bunch of nozzle, 6 irradiation O3 gases.At this moment, the CF among O3 gas and the attachment P is that chemical reaction takes place attachment P4 and with the effect based on physical shock, making CF is that attachment P4 is free from wafer W.Reaction product that should be free is discharged to outside the 2nd vacuum chamber 41 via exhaust outlet 45 based on the attraction of cleaning gas and vavuum pump 47.Like this, CF is that attachment P4 is removed from the periphery of wafer W.
Next, shown in Fig. 9 (b), carrying out silicon is removing of attachment P3.At first, switch purge gas and supply with system, utilize that the flow adjustment part will be the corresponding purge gas of attachment with silicon, promptly for example hydrogen fluoride (HF) gas imports to 2nd bunch of nozzle 6 after being adjusted into the flow of regulation.On the other hand, after utilizing the flow adjustment part also for example Ar gas carrying out flow adjustment to inert gas, import to 2nd bunch of nozzle 6.Below, with aforesaid CF be attachment P4 the situation of removing likewise, through with bunch C irradiation attachment P of the group of HF gas, utilizing physics and chemical action to remove silicon is attachment P3.
Specifically; Shown in Fig. 9 (C); With aforesaid CF be that attachment P4 and silicon are removing likewise of attachment P3, through being the corresponding purge gas of attachment, i.e. the cluster gas C of ClF3 gas irradiation attachment P for example with metal, removing metal is attachment P2.
At last, shown in Fig. 9 (d), purge gas that will be corresponding with the organic system attachment, i.e. bunch C of the group of O3 gas irradiation attachment P for example remove organic system attachment P1.Like this, be attached to wafer W rear side periphery attachment P remove end.
In this embodiment; Purge gas as to CF being attachment P4 and organic system attachment P1 has used O3 gas, but also for example gas, the fluorocarbons of alcohol type are that gas (CF is a gas), yellow (SF6) gas of sulfur hexafluoride etc. are so long as can get final product with the gas that organic system attachment generation chemical reaction is removed in addition.And likewise; As the purge gas for example F2 gas beyond the HF gas etc. also that to silicon is attachment P3, as the purge gas also for example HF gas beyond the ClF3 gas, F2 gas, hydrogen chloride (HCl) gas, chlorine (Cl2) gas etc. that to metal are attachment P2.
In the operation that the inclined plane part that utilizes cluster gas C to wafer W cleans; For the temperature of wafer W, can for example carry out under the normal temperature, though temperature is not carried out special qualification; But from the reason of technology controlling and process property, preference is as 0 ℃ to 100 ℃.
Like this, after the cleaning of the periphery of wafer W finished, gate valve G3 was opened, and utilizes the carrying mechanism 22 of this vacuum carrying chamber 2, and wafer W is taken out of from the 2nd vacuum chamber 41.
According to above-mentioned embodiment; During the removing of the attachment P that constitutes in the planarization of the acus schooling up T of the periphery on the surface of carrying out wafer W and by the composite membrane of the periphery at the back side that is attached to wafer W; In vacuum environment, make wafer W rotation, and make the periphery of bunch C irradiation wafer W of group of the purge gas corresponding with each clean.Therefore; Through based on the physical action of the sputter effect of cluster gas C and complementary effect based on the chemical action of reactant gas; As after among the embodiment that states prove, the acus schooling up T of the silicon of can pruning and planarization, and can remove composite membrane, be attachment P.
At this moment, through irradiation position is blown out cleaning gas towards the excircle direction of wafer W, can further suppress flying the adhering to again that produces owing to cleaning to wafer W.In addition; Cluster gas C is owing to can carry out local irradiation; Even therefore only implement the not clean at position (removing the object position); Can the effective coverages such as device zone of wafer W not impacted yet, therefore can be under the state of the pollution that suppresses wafer W the periphery of cleaning wafer W well.Suppose to desire to make plasma to carry out the locality irradiation, then have plasma supply nozzle self damaged, become the possibility of pollution sources, but cluster gas C is not then had such worry, and device construction is easy and cheap.
In above-mentioned embodiment; Since to the 1st and 2nd bunch of nozzle 5,6 made up angle- adjusting mechanism 54,64; Therefore utilize this point, the time period of discharging cluster gas C is divided into a plurality of, for example in the 1st time period of beginning, discharge cluster gas C with the 1st angle; In ensuing the 2nd time period, discharge under the situation such as cluster gas C with the 2nd angle, also can interim handoff angle.Perhaps, can also between the 1st angle and the 2nd angle, change angle continuously, diplomatic corps's bunch nozzle 5,6 repeats the so-called action of shaking the head in matting.
In addition; 1st, 2nd bunch of nozzle 5,6 is owing to can move to directions X; Therefore also can in above-mentioned the 1st time period and the 2nd time period, change the irradiation position that makes progress in the footpath of wafer W; Also change the above-mentioned radial position of group's bunch nozzle 5,6 serially, for example can between the 1st position and the 2nd position, move by diplomatic corps's bunch nozzle 5,6 toward backward shift.In addition, can also angle change that state such group's bunch nozzle 5,6 be carried out with the change of the radial position of wafer W in combination.
In above-mentioned embodiment, though be provided with 2nd a bunch of nozzle 6, carry out the switching of gaseous species, all right that kind shown in figure 10 of the present invention for example is provided with a plurality of the 2nd bunch of nozzle 6a, 6b by each gas.In Figure 10, become complicated in order not make accompanying drawing, omitted 1st bunch of nozzle 5 and cleaning gas nozzle 7 and their support unit 53,73 etc., only show their guiding piece 51,71.
In addition; In above-mentioned embodiment; Though removed the constituent of the attachment P of the periphery that is attached to wafer W fully, about removing of attachment P, as long as become the needed state of next operation; According to circumstances, can whole compositions not removed and only remove one one composition.
In above-mentioned embodiment; Though from same group bunch nozzle shine successively with attachment P each corresponding purge gas of a plurality of constituents, the special-purpose a plurality of groups bunch nozzle of each purge gas also capable of using shines the cluster gas C of various types of gas simultaneously to same position.
In addition; In above-mentioned embodiment; The two has carried out clean to acus schooling up T and attachment P though utilize cluster gas, also can be only to acus schooling up T application of gas group bunch, for example then utilize additive method such as wet-cleaned to carry out other removing for attachment P.
In above-mentioned embodiment; Though used the present invention to the acus schooling up T of the periphery of wafer W and the clean of attachment P; But the present invention is not limited thereto; To the film that is formed on the wafer, for example utilize CVD to handle and only remove wherein also suitable the present invention of situation of the film of wafer peripheral portion.
In above-mentioned embodiment, though in vacuum environment, utilize cluster gas to carry out clean, this clean environment also can be an atmospheric pressure environment so long as the low environment of pressure interior than a bunch nozzle gets final product.
Be not limited to the such circular substrate of wafer W as being processed substrate, go back for example flat-panel monitor (FPD) substrate isogon substrate.Under this situation, can adopt diplomatic corps's bunch nozzle 5,6 peripheries on one side, relatively move to the other end and substrate from its end on one side, Yi Bian the method for handling along substrate.
Embodiment
Here, the experimental example of removing the effect that relates to of the attachment of the planarization of the acus schooling up of having confirmed silicon of the present invention and rear side is described.
(planarization of the acus schooling up of silicon)
Prepared the silicon chip that forms the acus schooling up through plasma and on the surface in advance.Use ClF 3Gas and Ar gas (ClF 3Concentration is 6 volume %.) as handling gas, and the pressure in the cluster gas nozzle is set at 0.8MPa, the environment of vacuum chamber is made as 10Pa, cluster gas is set at 45 ° to the irradiating angle of silicon chip, with the surface element irradiation cluster gas of silicon chip.Figure 11 is after the pre-irradiation of cluster gas and irradiation, utilizes the observed result on the surface of observed this silicon chip of SEM.This result of experiment has been confirmed the irradiation through cluster gas, and the front end of the acus schooling up of silicon is cut and is flattened.
(removing of attachment)
Supposed that metal is that attachment, silicon are attachment and organic system attachment; And each tungsten film, SiO2 film and organic film have been supposed; To having formed the substrate of resist film, can the vertical irradiation cluster gas wait through having or not of visual its irradiation vestige and to confirm and judge and use the present invention.As far as using gases, to tungsten film irradiation sharp with the ClF3 gas of 6 volume % behind the Ar gas dilution, shone the HF gas that utilizes 5 volume % behind the Ar gas dilution and the O3 gas of 5 volume % respectively to SiO2 film and resist film.Wherein, about irradiation, test substrate temperature being heated under 90 ℃ the situation under the situation with room temperature to resist film.About tungsten film and SiO2 film,, therefore confirmed that the present invention capable of using carries out etching owing to can confirm to shine vestige.About resist film,, confirmed at substrate temperature to be that the present invention capable of using carries out etching under 90 ℃ the situation though be not see the irradiation vestige under the situation of room temperature at substrate temperature.

Claims (11)

1. base plate cleaning device is characterized in that possessing:
Maintaining part, it is set in the process chamber with exhaust outlet, is used to keep substrate;
Spray nozzle part for the not position of the periphery of removing the substrate that said maintaining part keeps, and is used for this periphery irradiation cluster gas; With
Travel mechanism, it is used for when the irradiation of said cluster gas, making said maintaining part and said spray nozzle part relatively to move,
Said spray nozzle part through the discharge pressure purge gas higher than the pressure in the said process chamber make the purge gas adiabatic expansion form atom or the molecule of purge gas aggregate, be cluster gas.
2. base plate cleaning device according to claim 1 is characterized in that,
Face side at said substrate possesses the cleaning gas supply part, and the flying that this cleaning gas supply part will disperse from substrate owing to the collision of said cluster gas is blown away from the center of substrate laterally.
3. base plate cleaning device according to claim 1 and 2 is characterized in that,
Said purge gas mixes the back with gas and discharges with boosting.
4. according to each described base plate cleaning device in the claim 1 to 3, it is characterized in that,
Said spray nozzle part is constituted as, and can change the illumination angle of cluster gas to substrate.
5. according to each described base plate cleaning device in the claim 1 to 4, it is characterized in that,
Said spray nozzle part is constituted as, and can move to the central portion that connects substrate and the direction of outside line.
6. according to each described base plate cleaning device in the claim 1 to 5, it is characterized in that,
Said spray nozzle part is constituted as, and can realize at least one side in following, can change the illumination angle of cluster gas through rotating around the axle parallel with substrate that is:; With can move to the direction of central portion that is connected substrate and outside line,
Said spray nozzle part possesses control part; This control part is controlled; So that when the processing of the periphery of substrate being begun based on cluster gas when processing finishes during in, implement cluster gas illumination angle change and on the direction of the central portion that connects substrate and outside line in mobile, at least one side.
7. according to each described base plate cleaning device in the claim 1 to 6, it is characterized in that,
Said substrate is circular,
Said travel mechanism does, the substrate that is used to keep the substrate of said circle and make said circle is around the rotating mechanism of the axle rotation of the central part of said substrate.
8. according to each described base plate cleaning device in the claim 1 to 7, it is characterized in that,
Said substrate is that the multiple stacked film on the Silicon Wafer has been carried out this wafer after the etching; Periphery in the face side of substrate; Be formed with and be equivalent to the not projection crowd of the silicon position, a plurality of needle-likes, and the periphery of side overleaf, be attached with and be equivalent to the not multiple film at position.
9. according to each described base plate cleaning device in the claim 1 to 8, it is characterized in that,
At least one side of said substrate in the surface and the back side periphery, range upon range of have be equivalent to the not multiple film at position,
Said spray nozzle part is supplied with system with the mode that can switch and discharge multiple purge gas with multiple gases and is connected.
10. according to each described base plate cleaning device in the claim 1 to 8, it is characterized in that,
At least one side of said substrate in the surface and the back side periphery, range upon range of have be equivalent to the not multiple film at position,
Be provided with a plurality of said spray nozzle parts, these a plurality of spray nozzle parts are supplied to different purge gas each other.
11. a vacuum flush system is characterized in that possessing:
The vacuum carrying chamber, it is carrying substrate under vacuum environment;
Vacuum process module, it is connected with this vacuum carrying chamber via separator valve, is used for the surface film that is formed at substrate is carried out dry-etching or on substrate, forms film; With
Each described base plate cleaning device in the claim 1 to 10, it is connected with said vacuum carrying chamber via separator valve, is used for cleaning the periphery that has been carried out the substrate after the application of vacuum in said vacuum process module.
CN2012100867864A 2011-03-31 2012-03-28 Substrate cleaning apparatus and vacuum processing system Pending CN102728580A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-080098 2011-03-31
JP2011080098A JP5815967B2 (en) 2011-03-31 2011-03-31 Substrate cleaning apparatus and vacuum processing system

Publications (1)

Publication Number Publication Date
CN102728580A true CN102728580A (en) 2012-10-17

Family

ID=46925687

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2012100867864A Pending CN102728580A (en) 2011-03-31 2012-03-28 Substrate cleaning apparatus and vacuum processing system

Country Status (4)

Country Link
US (1) US9214364B2 (en)
JP (1) JP5815967B2 (en)
KR (1) KR101671555B1 (en)
CN (1) CN102728580A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107529946A (en) * 2016-01-18 2018-01-02 奥林巴斯株式会社 Endoscope
CN107615458A (en) * 2015-06-03 2018-01-19 株式会社斯库林集团 Substrate board treatment, film form unit, substrate processing method using same and film forming method
CN110462794A (en) * 2017-03-23 2019-11-15 东京毅力科创株式会社 Cluster gas processing unit and cluster gas processing method
TWI689357B (en) * 2018-02-13 2020-04-01 特銓股份有限公司 Substrate cleaning equipment and substrate cleaning method
CN111048438A (en) * 2018-10-11 2020-04-21 Tes股份有限公司 Gas supply unit

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5815967B2 (en) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 Substrate cleaning apparatus and vacuum processing system
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5857896B2 (en) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 Method of operating film forming apparatus and film forming apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5997555B2 (en) * 2012-09-14 2016-09-28 東京エレクトロン株式会社 Etching apparatus and etching method
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP2015026745A (en) * 2013-07-26 2015-02-05 東京エレクトロン株式会社 Substrate cleaning method and substrate cleaning device
JP6311236B2 (en) * 2013-08-20 2018-04-18 東京エレクトロン株式会社 Substrate cleaning device
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR20150055655A (en) * 2013-11-13 2015-05-22 삼성디스플레이 주식회사 Apparatus for cleaning a substrate
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN103995434A (en) * 2014-06-12 2014-08-20 上海华力微电子有限公司 Mask dust collection device
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
WO2016036739A1 (en) 2014-09-05 2016-03-10 Tel Epion Inc. Process gas enhancement for beam treatment of a substrate
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6545053B2 (en) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 Processing apparatus and processing method, and gas cluster generating apparatus and generating method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052958A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Large area dual substrate processing system
CN108292598B (en) * 2015-11-30 2022-09-02 东京毅力科创株式会社 Method for cleaning chamber of substrate processing apparatus
JP6505253B2 (en) * 2015-12-07 2019-04-24 東京エレクトロン株式会社 Substrate cleaning device
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN105964621B (en) * 2016-05-30 2018-05-29 上海华力微电子有限公司 A kind of dust pelletizing system and dust removal method
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10510573B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108160628A (en) * 2017-12-26 2018-06-15 深圳市诺峰光电设备有限公司 A kind of needle Head cleaning device
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102099105B1 (en) * 2018-07-18 2020-05-15 세메스 주식회사 Method for treating a substrate and an apparatus for treating a substrate
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102620219B1 (en) * 2018-11-02 2024-01-02 삼성전자주식회사 Substrate processing method and substrate processing apparatus
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210009276A (en) * 2019-07-16 2021-01-26 도쿄엘렉트론가부시키가이샤 Processing liquid ejection nozzle, nozzle arm, substrate processing apparatus, and substrate processing method
KR20210026954A (en) * 2019-09-02 2021-03-10 삼성전자주식회사 Apparatus for manufacturing semiconductor device
JP7170608B2 (en) * 2019-09-09 2022-11-14 三菱電機株式会社 WAFER CLEANING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
KR102649715B1 (en) 2020-10-30 2024-03-21 세메스 주식회사 Surface treatment apparatus and surface treatment method
US20220301893A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Wafer Transfer System and Method of Use

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
JPS5399762A (en) * 1977-02-12 1978-08-31 Futaba Denshi Kogyo Kk Device for producing compound semiconductor film
CN1019513B (en) * 1986-10-29 1992-12-16 三菱电机株式会社 Composition film forming device
JPS63270458A (en) * 1987-04-27 1988-11-08 Mitsubishi Electric Corp Device for forming compound thin film
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
DE4018954A1 (en) * 1989-06-15 1991-01-03 Mitsubishi Electric Corp DRYING MACHINE
US5304247A (en) * 1990-09-21 1994-04-19 Fujitsu Limited Apparatus for depositing compound semiconductor crystal
JP3169151B2 (en) * 1992-10-26 2001-05-21 三菱電機株式会社 Thin film forming equipment
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
JP3530270B2 (en) * 1995-04-27 2004-05-24 島田理化工業株式会社 Precision cleaning equipment
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US6164297A (en) * 1997-06-13 2000-12-26 Tokyo Electron Limited Cleaning and drying apparatus for objects to be processed
US7527698B2 (en) * 1998-09-23 2009-05-05 Interuniversitair Microelektronica Centrum (Imec, Vzw) Method and apparatus for removing a liquid from a surface of a substrate
US6424091B1 (en) * 1998-10-26 2002-07-23 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method performed by use of the same apparatus
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100349948B1 (en) * 1999-11-17 2002-08-22 주식회사 다산 씨.앤드.아이 Dry cleaning apparatus and method using cluster
US6328221B1 (en) * 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
JP3405312B2 (en) * 2000-02-25 2003-05-12 日本電気株式会社 Coating film removal device
JP2001345294A (en) * 2000-05-31 2001-12-14 Toshiba Corp Method for fabricating semiconductor device
KR100445259B1 (en) * 2001-11-27 2004-08-21 삼성전자주식회사 Cleaning method and cleaning apparatus for performing the same
US7067097B1 (en) * 2002-02-12 2006-06-27 Wojak Gregory J Process for preparing a diamond substance
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080011421A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Processing chamber having labyrinth seal
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP3993048B2 (en) * 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 Substrate processing equipment
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US8192555B2 (en) * 2002-12-31 2012-06-05 Micron Technology, Inc. Non-chemical, non-optical edge bead removal process
WO2005015627A1 (en) * 2003-08-07 2005-02-17 Ebara Corporation Substrate processing apparatus, substrate processing method, and substrate holding apparatus
US20080073324A1 (en) * 2004-07-09 2008-03-27 Sekisui Chemical Co., Ltd. Method For Processing Outer Periphery Of Substrate And Apparatus Thereof
JP2007088398A (en) * 2004-12-14 2007-04-05 Realize Advanced Technology Ltd Cleaning device, cleaning system using the cleaning device, and method of cleaning substrate to be cleaned
US8453600B2 (en) * 2004-12-28 2013-06-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US7691278B2 (en) * 2005-09-27 2010-04-06 Lam Research Corporation Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
JP4761381B2 (en) * 2006-08-01 2011-08-31 東京エレクトロン株式会社 Thin film removing apparatus and thin film removing method
JP5016351B2 (en) * 2007-03-29 2012-09-05 東京エレクトロン株式会社 Substrate processing system and substrate cleaning apparatus
JP5098019B2 (en) * 2007-04-27 2012-12-12 ギガフォトン株式会社 Extreme ultraviolet light source device
US20080289651A1 (en) * 2007-05-25 2008-11-27 International Business Machines Corporation Method and apparatus for wafer edge cleaning
WO2009036218A1 (en) * 2007-09-13 2009-03-19 Ehd Technology Group, Inc. Apparatus and method for cleaning wafer edge using energetic particle beams
JP5122265B2 (en) * 2007-10-01 2013-01-16 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
KR101431197B1 (en) * 2008-01-24 2014-09-17 삼성전자주식회사 Equipment for depositing atomic layer
KR101223945B1 (en) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 Cluster jet processing method, semiconductor element, microelectromechanical element, and optical component
JP5401089B2 (en) * 2008-12-15 2014-01-29 東京エレクトロン株式会社 Foreign matter removal method and storage medium
US8304033B2 (en) 2009-02-04 2012-11-06 Tel Epion Inc. Method of irradiating substrate with gas cluster ion beam formed from multiple gas nozzles
KR101630234B1 (en) * 2009-11-17 2016-06-15 주성엔지니어링(주) Method of Cleaning Process Chamber
US8658937B2 (en) * 2010-01-08 2014-02-25 Uvtech Systems, Inc. Method and apparatus for processing substrate edges
EP2553144B1 (en) * 2010-03-29 2016-11-23 Koolerheadz Gas injection device with uniform gas velocity
JP2012061585A (en) * 2010-09-17 2012-03-29 Tokyo Electron Ltd Vacuum processing apparatus, vacuum processing method and micro-machining apparatus
JP5815967B2 (en) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 Substrate cleaning apparatus and vacuum processing system
KR101344921B1 (en) * 2012-03-28 2013-12-27 세메스 주식회사 Apparatus and Method for treating substrate
JP6317547B2 (en) * 2012-08-28 2018-04-25 株式会社Screenホールディングス Substrate processing method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107615458A (en) * 2015-06-03 2018-01-19 株式会社斯库林集团 Substrate board treatment, film form unit, substrate processing method using same and film forming method
CN107615458B (en) * 2015-06-03 2021-06-01 株式会社斯库林集团 Substrate processing apparatus, film forming unit, substrate processing method, and film forming method
US11986853B2 (en) 2015-06-03 2024-05-21 SCREEN Holdings Co., Ltd. Substrate processing apparatus, film formation unit, substrate processing method and film formation method
CN107529946A (en) * 2016-01-18 2018-01-02 奥林巴斯株式会社 Endoscope
US11042020B2 (en) 2016-01-18 2021-06-22 Olympus Corporation Endoscope having observation window with circumferential side surface and cleaning nozzles directed to circumferential side surface
CN110462794A (en) * 2017-03-23 2019-11-15 东京毅力科创株式会社 Cluster gas processing unit and cluster gas processing method
CN110462794B (en) * 2017-03-23 2023-09-15 东京毅力科创株式会社 Gas cluster processing apparatus and gas cluster processing method
TWI689357B (en) * 2018-02-13 2020-04-01 特銓股份有限公司 Substrate cleaning equipment and substrate cleaning method
CN111048438A (en) * 2018-10-11 2020-04-21 Tes股份有限公司 Gas supply unit
CN111048438B (en) * 2018-10-11 2023-06-13 Tes股份有限公司 Gas supply unit

Also Published As

Publication number Publication date
US9214364B2 (en) 2015-12-15
JP2012216636A (en) 2012-11-08
US20120247670A1 (en) 2012-10-04
JP5815967B2 (en) 2015-11-17
KR20120112242A (en) 2012-10-11
KR101671555B1 (en) 2016-11-01

Similar Documents

Publication Publication Date Title
CN102728580A (en) Substrate cleaning apparatus and vacuum processing system
US9099298B2 (en) Substrate cleaning apparatus and substrate cleaning method
US20230405762A1 (en) Substrate processing apparatus
US9960056B2 (en) Substrate cleaning method, substrate cleaning apparatus and vacuum processing system
US9837260B2 (en) Cleaning method, processing apparatus, and storage medium
CN102754192B (en) Substrate cleaning method and substrate cleaning device
US8062432B2 (en) Cleaning method for turbo molecular pump
US20130014785A1 (en) Substrate processing method and substrate processing apparatus
JP7357625B2 (en) Compact electronic processing system with controllable beam size processing spray
CN101204705A (en) Method of cleaning chamber with silicon chip erosion
US20130186431A1 (en) Methods for Treating Surfaces, Methods for Removing One or More Materials from Surfaces, and Apparatuses for Treating Surfaces
CN104091776A (en) Wafer purifying equipment for eliminating etching byproduct coagulation defect of connecting hole
KR102541747B1 (en) Chamber cleaning method of substrate processing apparatus
JP2008540994A (en) Surface drying method
JP7239688B2 (en) Method for cleaning vacuum system, method for vacuum processing of substrate, and apparatus for vacuum processing of substrate
KR20200066325A (en) Systems and methods for processing substrates with cryogenic fluid mixtures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121017