CN102714228A - 制造具有高转换效率的薄膜太阳能电池 - Google Patents

制造具有高转换效率的薄膜太阳能电池 Download PDF

Info

Publication number
CN102714228A
CN102714228A CN2010800618324A CN201080061832A CN102714228A CN 102714228 A CN102714228 A CN 102714228A CN 2010800618324 A CN2010800618324 A CN 2010800618324A CN 201080061832 A CN201080061832 A CN 201080061832A CN 102714228 A CN102714228 A CN 102714228A
Authority
CN
China
Prior art keywords
layer
silicon layer
amorphous silicon
type
knot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800618324A
Other languages
English (en)
Inventor
大卫·谭纳尔
海恩-敏·胡·勒
全成(托米)·顾
盛殊然
蔡永基
再-发(杰夫)·苏
王大鹏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102714228A publication Critical patent/CN102714228A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022466Electrodes made of transparent conductive layers, e.g. TCO, ITO layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/02168Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03921Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
    • H01L31/056Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means the light-reflecting means being of the back surface reflector [BSR] type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • H01L31/076Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Photovoltaic Devices (AREA)

Abstract

本发明提供一种用以形成太阳能电池的方法与设备。在一个实施例中,光伏装置包括:抗反射涂覆层,其设置在衬底的第一表面上;阻挡层,其设置在衬底的第二表面上;第一透明导电氧化物层,其设置在阻挡层上;导电接触层,其设置在第一透明导电氧化物层上;第一p-i-n结,其形成在导电接触层上;以及第二透明导电氧化物层,其形成在第一p-i-n结上。

Description

制造具有高转换效率的薄膜太阳能电池
相关申请
本发明的实施例为大致上涉及太阳能电池及其形成方法。更特别地,本发明的实施例涉及用以制造具有高转换效率的薄膜太阳能电池的方法。
背景技术
太阳能电池是将太阳辐射与其它光转换成可用的电能。能量转换是由于光伏效应而发生。太阳能电池可由结晶材料或由非晶或微晶材料来形成。概括来说,现今有两种大量生产的主要类型的太阳能电池,即结晶硅太阳能电池与薄膜太阳能电池。结晶硅太阳能电池通常使用单晶衬底(即单晶的纯硅衬底)或多晶硅衬底(即多结晶或多晶硅)。额外的膜层沉积在硅衬底上以改善光的捕获、形成电路、且保护装置。适当的衬底包括玻璃、金属与聚合物衬底。已经发现薄膜太阳能电池的性质会在暴露于光时随着时间而劣化,其会造成装置稳定性低于所期望的稳定性。典型的会劣化的太阳能电池特性为填充因子(fill factor;FF)、短路电流、与开路电压(Voc)。
由于非晶-微晶硅吸收层的低成本大面积沉积,薄膜硅太阳能电池已经取得大的市场占有率。薄膜太阳能电池是使用沉积在适当衬底上的薄材料层来形成一个或多个p-n结。通常,不同的材料层执行形成在太阳能电池中的不同的功能。在一些例子中,一些材料层可作为光吸收层,其可在吸收层中具有高的吸收光的光捕获效果以产生高电流。相对地,一些材料层构造成将光反射与散射到形成在衬底上的太阳能电池,藉此有助于光能保持在太阳能电池中持续更长时间以产生电流。然而,当光被传送通过这些反射材料层时,吸收损失会时常发生,因而不利地降低了形成在衬底上的太阳能电池结的整体电气性能和转换效率。为了扩增太阳能电池的经济使用,必须改善效率。太阳能电池效率与转换成有用电力的入射辐射的比例有关。为了能用于更多应用,必须将太阳能电池效率改善超过目前最佳约15%的性能。随着能源成本上升,亟需改良薄膜太阳能电池以及用以在工厂环境中形成薄命太阳能电池的方法与设备。
发明内容
本发明的实施例提供形成太阳能电池的方法。在一个实施例中,光伏装置包括:ARC层,其设置在衬底的第一表面上;阻挡层,其设置在该衬底的第二表面上;第一TCO层,其设置在该阻挡层上;导电接触层,其设置在该第一TCO层上;第一p-i-n结,其形成在该导电接触层上;及第
TCO层,其形成在该第一p-i-n结上。
在另一实施例中,一种形成太阳能电池装置的方法包括以下步骤:在衬底的第一表面上形成ARC层;在该衬底的第二表面上形成阻挡层;在该阻挡层上形成第一TCO层;形成设置在该第一TCO层上的导电接触层,其中该导电接触层是在PVD腔室中形成的掺杂铌的氧化钛层;在该导电接触层上形成第一p-i-n结;在该第一p-i-n结上形成导电率匹配层;及在该导电率匹配层上形成第二TCO层。
在又另一实施例中,一种用以形成太阳能电池的自动化且集成式***包括:第一沉积腔室,其适于在衬底的第一表面上的第一TCO层上沉积导电接触层,其中ARC层形成在该衬底的第二表面上,并且其中阻挡层形成在该衬底的第一表面与该第一TCO层之间;第二沉积腔室,其适于在该导电接触层上沉积p-型含硅层与界面阻挡层;第三沉积腔室,其适于沉积本征型含硅层、以及在该本征型含硅层上沉积n-型微晶碳化硅层或导电率匹配层;及自动化输送器装置,其适于在该第一沉积腔室、该第二沉积腔室、与该第三沉积腔室之间传送该衬底。
附图说明
以本发明以上所述的特征能获得并详细地理解的方式,可以参照实施例对以上简要概括的本发明进行更具体地描述,本发明的实施例图示在附图中。
图1为根据本发明的实施例的单一结薄膜太阳能电池的示意性侧视图。
图2为根据本发明的实施例的串联结薄膜太阳能电池的示意性侧视图。
图3为根据本发明的实施例的设置在衬底的第一表面上的抗反射涂覆(ARC)层的放大图。
图4为根据本发明的实施例的设置在衬底的第二表面上的阻挡层的放大图。
图5为根据本发明的实施例的形成在设置于衬底上的太阳能电池中的背金属电极的放大图。
图6为根据本发明的实施例的用以在衬底上制造太阳能电池的方法流程图。
图7为根据本发明的实施例的设备的横截面图。
图8为根据本发明的另一实施例的设备的平面图。
图9为根据本发明的实施例的结合有图7和图8的设备的生产线的一部分的平面图。
为促进了解,在可能时使用相同的组件符号来表示该等图式共有的相同组件。应了解,实施例的组件与特征结构可有利地并入到其它实施例而不需特别详述。
然而,应了解,附图仅绘示出本发明的示范性实施例且因此不会对本发明的范围构成限制,本发明可容许其它等效的实施例。
具体实施方式
薄膜太阳能电池大致上是由以许多不同方式设置在一起的数种类型的膜或层来形成。大部分用在这样装置的膜结合半导体元素,半导体元素可包括硅、锗、碳、硼、磷、氮、氧、氢等。不同膜的特性包括结晶度、掺杂类型、掺杂浓度、膜折射率、膜消光系数、膜透明度、膜吸收率、与导电率。典型地,大部分这些膜可通过使用化学气相沉积处理来形成,其中该化学气相沉积处理可包括一些程度的离子化或等离子体形成。
光伏处理期间的电荷产生通常是由块体半导体层(诸如含硅层)所提供。块体层有时候也称为本征层,以将其和太阳能电池中的各种掺杂层区分。本征层可具有任何期望的结晶度,结晶度将影响本征层的光吸收特性。举例而言,非晶本征层(诸如非晶硅)一般将在与具有不同结晶度的本征层(诸如微晶硅)不同的波长下吸收光。由于此原因,大部分的太阳能电池将使用此两种类型的层,以产生最宽广可能的吸收特性而增加电池转换性能。在许多例子中,本征层可作为在两个不相似类型层之间的缓冲层,以在这两个不相似类型层之间提供更平顺的光学或电气性质的过渡。
图1为形成在衬底102上的单一结薄膜太阳能电池100的侧视图,衬底102具有朝向光或太阳能辐射101的第一表面152。太阳能电池100包括衬底102(诸如玻璃衬底、聚合物衬底、金属衬底、或其它适当的衬底),多个薄膜形成在衬底102上的第二表面154上。在一种构造中,衬底102为约2.6mm至约4mm厚的玻璃材料,诸如约3.2mm厚。在一个实施例中,衬底102包括具有相对低浓度的铁(Fe)元素掺杂在其中的玻璃材料。可认为,当光穿过衬底102到达形成在衬底102上的太阳能电池100时,较少量的掺杂在玻璃衬底中的铁元素将可减少光吸收率。可认为,相较于通常用在太阳能应用的传统玻璃材料(诸如钠钙玻璃(soda limeglass)),在低铁玻璃中,在较长光波长(诸如约800nm至约1100nm的波长)的光将会被较少吸收。所以,当含低铁玻璃的衬底与含有含结晶硅层(例如微晶硅)的太阳能电池一起使用时,含低铁玻璃的衬底是有用的,这是因为相较于含非晶硅层,含低铁玻璃的衬底倾向于吸收位于这些波长内的光。在一个实施例中,衬底102(诸如玻璃衬底)中的铁浓度被控制到约0.01重量百分比至约0.03重量百分比的水平,诸如小于约0.02重量百分比。在另一实施例中,玻璃类型衬底102中的铁浓度被控制到小于约70ppm的水平。在又另一实施例中,玻璃类型衬底102中的铁浓度被控制到小于约7ppm的水平。在一个实例中,在串联类型太阳能电池装置(图2的太阳能电池200)中,使用低铁玻璃衬底(低铁玻璃衬底对于较长所接收的波长具有减少的吸收率)来增加产生在含微晶第二p-i-n结136中以及因而产生在串联结类型薄膜太阳能电池或太阳能电池中的电流量。
抗反射涂覆(ARC)层103形成在衬底102的第一表面152上。在一个实施例中,ARC层103设置在衬底102的第一表面152上,以减少当太阳辐射101通过衬底102时的光损失。ARC层103经选择以具有介于空气的折射率与衬底102的折射率之间的折射率。在一个实施例中,由于空气的折射率为约1.0,并且衬底102(诸如玻璃(例如低铁玻璃)衬底)的折射率通常为约1.4至约1.5,ARC层103的折射率经调节和选择以处于约1.1至1.4之间(诸如约1.2)。可认为,通过选择折射率在约1.1至1.4指尖的ARC层,ARC层103可有助于光从空气平顺地传送且通过衬底102到形成在衬底102上的膜层(这将在下文描述)。当光从空气被传送通过衬底102时,急剧的折射率变化会使得光反射回到空气内且远离形成在衬底102上的太阳能电池100。因此,通过选择倾向于作为空气与衬底102之间缓冲层的适当ARC层103材料,可减少和/或控制从衬底表面反射造成的光损失量。涉及ARC层103的选择与性质的细节将在下文参照图3进一步描述。
太阳能电池100形成在衬底102的第二表面154上,其中该第二表面154与ARC层103形成在衬底102上的位置相反。太阳能电池100包括选择性阻挡层104,选择性阻挡层104形成在衬底102的第二表面154上。随后,第一透明导电氧化物(TCO)层106形成在选择性阻挡层104上。在不存在有选择性阻挡层104的实施例中,第一TCO层106直接地形成在衬底102的第二表面154上。第一p-i-n结116接着形成在第一TCO层106上。选择性导电接触层108可形成在第一TCO层106与第一p-i-n结116之间。在不存在有选择性导电接触层108的实施例中,第一p-i-n结116可直接地形成在第一TCO层106上。随后,导电率匹配层118、第二TCO层120与背金属电极122接着依序地形成在第一p-i-n结116上。
在一个实施例中,选择性阻挡层104可预先制造在来自玻璃供货商的衬底102上而和衬底102一并提供。一方面,选择性阻挡层104具有和前述ARC层103类似的功能,即选择性阻挡层10可通过减少在和衬底102的界面和界面处、以及在与第一透明导电氧化物(TCO)层106的界面内和界面处的光吸收与反射而增加传送到第一p-i-n结116的光量。另一方面,选择性阻挡层104构造成具有对衬底102和对随后待形成的第一TCO层106的低接触电阻与高附着性。在一个实施例中,选择性阻挡层104为从具有高膜透明度、高膜导电率与高电子迁移率的材料来选择,这些材料将可通过减少吸收率与多个内连接太阳能电池(多个内连接太阳能电池通常是通过在衬底102上使用刻划处理来形成)之间的串联电阻而改善太阳能电池效率。再者,选择性阻挡层104也可具有特定程度的表面粗糙度,以改善光散射,藉此改善太阳能电池100内的光吸收率。涉及选择性阻挡层104的膜性质与结构的细节将在下文参照图4描述。
第一TCO层106与第二TCO层120可各自包括氧化锡、氧化锌、铟锡氧化物、锡酸镉、上述各项的组合、或其它适当的材料。可了解,TCO材料也可以包括额外的掺杂物和成分。举例而言,氧化锡(SnO2)可还包括铁(Fe)、镁(Mg)、或其它适当的掺杂物。在使用SnO2TCO层的实施例中,可认为,SnO2TCO层可通过减少在和衬底102与第一TCO层106的界面内和处的光吸收与反射而增加传送到第一p-i-n结116的光量。在一个实施例中,使用氟化氧化锡(SnO2:F)TCO层106,这是因为其形成在衬底上是相对便宜的且其容易被纹理化以改善光捕获。在一个实施例中,形成的氧化锡(SnO2)层的晶粒尺寸为约600nm至800nm。在另一实施例中,形成的氧化锡(SnO2)层的晶粒尺寸为TCO层106的厚度的约三至五倍、或约900nm至1500nm(当例如TCO层106为约300nm至约600nm厚时)。
在另一实例中,TCO材料可以是氧化锌,该氧化锌可还包括诸如铝、氧化铝、镓、硼、与其它适当掺杂物的掺杂物。举例而言,TCO材料可具有氧化锌,其包括5原子百分比或更小的掺杂,诸如包括3原子百分比或更小的氧化铝掺杂,诸如约0.25原子百分比至3原子百分比的形成在氧化锌中的氧化铝掺杂。在一个实施例中,第一与第二TCO层106、120可以是掺杂铝的氧化锌层(AZO)。在另一实施例中,第一与第二TCO层106、120可以是富氧的掺杂铝的氧化锌层(AZO-O2),其具有形成在AZO层中的较高的氧浓度。可认为,含AZO的第二TCO层中包含的较高氧浓度以及高铝掺杂浓度可有助于光反射回到形成在衬底102上的太阳能电池的有源区(例如第一p-i-n结116),藉此增加在太阳能电池的这些有源区中的电流产生。在一个实施例中,第一TCO层106可被控制成具有约1%原子重量的氧化铝掺杂在约99%原子重量的氧化锌中。第二TCO层120可被控制成具有约2%原子重量的氧化铝掺杂在约98%原子重量的氧化锌中。在PVD处理中,被供应在气体混合物(例如包括氧气与氩气的气体混合物)中的氧气可被控制在约1.5体积百分比至约5体积百分比,以产生AZO层,且AZO层具有形成在其中的期望氧掺杂浓度比例。在特定例子中,衬底102可由玻璃制造商来提供,其中第一TCO层106已经被提供在衬底102上。通常,TCO层106、120可使用化学气相沉积(CVD)或物理气相沉积(PVD)处理来形成。可用来形成TCO层106和/或120的沉积处理的实例进一步被描述在共同受让的2009年6月9日申请的美国专利申请案号12/481,175(代理人卷号:APPM 14329)、2009年6月12日申请的美国临时专利申请案号61/186,333(代理人卷号:APPM 14441L02)、2009年6月12日申请的美国临时专利申请案号61/186,636(代理人卷号:APPM14442L02)、与2009年9月21日申请的美国临时专利申请案号61/244,386(代理人卷号:APPM 14441L03),这些专利申请都通过引用整体结合于本说明书中。
为了通过提升第一p-i-n结116内的光捕获来改善光吸收率,衬底和/或一个或多个形成在衬底上的薄膜可通过湿式、等离子体、离子、和/或机械处理来选择性地经纹理化。举例而言,在第一TCO层106被纹理化的实施例中,随后沉积在第一TCO层106上的薄膜将大致上依循该薄膜下方的表面的拓朴。在一个实例中,衬底102、选择性阻挡层104、TCO层106、和/或选择性导电接触层108是通过机械、干式蚀刻处理、湿式化学蚀刻、或其它类似方式来纹理化,以达到至少约30nm的平均表面粗糙度。在一个实施例中,平均表面粗糙度是约70nm至约90nm。可使用扫瞄电子显微镜(SEM)和原子力显微镜(AFM)来测量与特征化该纹理化表面的粗糙度。在一些实施例中,相较于含氧化锌的TCO层(由于含氧化锌的TCO层常需要增加的材料成本、硬件成本、与硬件复杂性,以在衬底102上形成良好质量的氧化锌层,而氧化锌层在纹理化后同样具有均匀和期望的粗糙度以在使用太阳能电池时能最佳地捕获入射光),期望使用包括氧化锡或经掺杂的氧化锡材料的第一TCO层106。
随后,在一个实施例中,导电接触层108可形成在第一TCO层106上。导电接触层108提供第一透明导电氧化物(TCO)层106与随后待形成的第一p-i-n结116之间的良好接触表面。导电接触层108形成为具有高膜透明度,以有助于减少从第一透明导电氧化物(TCO)层106行进到第一p-i-n结116的光损失。再者,导电接触层108也可有助于减少TCO层106与第一p-i-n结116之间的接触电阻,这将可改善第一透明导电氧化物(TCO)层106与第一p-i-n结116之间的电流,并因而改善太阳能电池100的转换效率。应了解,过多地减少在前接触层堆叠(例如选择性阻挡层104、TCO层106、与导电接触层108)中的这些层的导电率会由于在堆叠中的光的红外线(IR)波长的不希望吸收,而不利地影响所形成的前接触层堆叠将这些波长的光传送到太阳能电池装置的有源区的能力。所以,尽管低导电率前接触层堆叠具有电气导电率优点,通过减少传送到可吸收IR波长的有源层(诸如在串联结太阳能电池中的微晶层)的IR辐射量,高导电率材料中的光学吸收变化会大幅地影响太阳能电池的效率。所以,在一个实施例中,含有TCO层106与导电接触层108的层堆叠的方块电阻为约8欧姆每方(Ω/□)至约15欧姆每方(Ω/□)。在一个实施例中,含有TCO层106与导电接触层108的层堆叠的方块电阻为约8欧姆每方(Ω/□)至约10欧姆每方(Ω/□)。在又另一实施例中,含有TCO层106与导电接触层108的层堆叠的方块电阻为小于约15欧姆每方(Ω/□)。
也应了解,尽管低铁玻璃类型衬底102的使用改善了光学传送,但是通过减少所产生的流经平行导电路径(平行导电路径通过更高电阻的衬底材料形成)的电流量,低铁玻璃类型衬底102的使用将大致上不利地影响太阳能电池的前接触结构的导电率。相较于钠钙玻璃,低铁玻璃的导电率的减少一般地由于在玻璃衬底形成处理期间从衬底材料移除了钠(Na)、铁(Fe)、与其它元素,使得低铁玻璃因而大致上更难以形成且更昂贵。在一个实例中,可认为,能够在钠玻璃衬底上达到约10欧姆每方(Ω/□)的方块电阻的相同前接触层构造仅能在低铁浓度玻璃衬底上达到大于约14欧姆每方(Ω/□)的方块电阻,这是因为衬底材料的电阻率的差异。在一个实施例中,期望在低铁玻璃类型衬底102上形成前接触结构,该前接触结构具有约8欧姆每方(Ω/□)至约15欧姆每方(Ω/□)的经测量的方块电阻。在一个实施例中,期望在低铁玻璃类型衬底102上形成前接触结构,该前接触结构具有约8欧姆每方(Ω/□)至约10欧姆每方(Ω/□)的经测量的方块电阻。在另一实施例中,期望在低铁玻璃类型衬底102上形成前接触结构,该前接触结构具有小于约15欧姆每方(Ω/□)的经测量的方块电阻。在一个实例中,使用放置成与导电接触层108的暴露表面接触的四点探针来测量这些形成的层的期望组合的方块电阻。
在一个实施例中,具有期望光学与导电率特性的前接触结构是通过以导电掺杂材料(例如铟、铝)来掺杂该选择性阻挡层104、TCO层106、和/或导电接触层108来形成。在一个实例中,选择性阻挡层104和/或TCO层106包括掺杂有小于约10重量百分比的铟的氧化锡(SnO2)层。在另一实例中,选择性阻挡层104和/或TCO层106包括掺杂有小于约10重量百分比的铁的氧化锡(SnO2)层。
在一个实施例中,导电接触层108可以是钛层、氧化钛层、钽、氧化钽、铝、氧化铝、以及掺杂的含钛层、掺杂的含钽层或掺杂的含铝层,诸如掺杂铌的氧化钛层、掺杂铌的氧化钛层、掺杂铝的氧化钛或氧化钽层。在一个实例中,导电接触层108是掺杂铌的氧化钛层,其具有化学式NbxTiyOz,其中x具有介于0.01与0.1之间的范围且y具有介于0.9与0.99之间的范围且z为约2。在一个实例中,导电接触层108是掺杂铌的氧化钛层,其具有形成在氧化钛层中的小于1重量百分比的铌掺杂浓度。在一个实施例中,导电接触层108可具有约
Figure BPA00001578010000091
至约
Figure BPA00001578010000092
的厚度。
在一个实施例中,导电接触层108可提供第一TCO层106与随后待形成的太阳能电池结116之间的良好电接触。导电接触层108形成为具有高膜透明度,以有助于减少从低TCO层106行进到随后待形成的第一p-i-n结116的光损失。在一个实施例中,导电接触层108可具有约2×10-4ohm-cm(欧姆-厘米)至约2×10-3ohm-cm的膜导电率。
此外,可调整或调控导电接触层108的光学与电气膜特性成使导电接触层108具有不同的光学特性,藉此匹配和/或提供改善的相邻层之间的光学特性。举例而言,第一透明导电氧化物层106与第一p-i-n结116中的相邻膜层的折射率常具有显著的差异,这是因为第一透明导电氧化物层106通常由导电材料来制成而第一p-i-n结116中的这些膜层通常是由一个或多个含半导体层(诸如硅基材料)来制成。由于这些层之间的折射率差异,为了减少与补偿这些层之间的折射率差异且提供平顺的光学过渡,形成在这些层之间的导电接触层108被形成为用作折射率匹配层(例如缓冲层),以减少来自第一透明导电氧化物层106与第一p-i-n结116之间的界面的光反射量。因此,可大致上调控与调整导电接触层108而使导电接触层108的折射率介于第一透明导电氧化物层106的折射率与用来形成第一p-i-n结116的这些层的折射率之间。在一个实施例中,导电接触层108的折射率被控制在约2.0至约2.8(诸如约2.3),这是因为透明导电氧化物层106的折射率常为约1.8至2.1且介电层(诸如硅基层)的折射率常为约3.6至3.8。
此外,导电接触层108可具有高电子迁移率,从而有助于在所形成的太阳能电池装置中将所产生的电子/空穴对转移到相邻层。在一个实施例中,导电接触层108可形成为使得移动穿过所形成的层的电子具有约20V-s/cm2至约90V-s/cm2的电子迁移率。
第一p-i-n结116可包括p-型非晶硅层110、形成在p-型非晶硅层110上方的本征型非晶硅层112、与形成在本征型非晶硅层112上的n-型微晶硅基层114。在一个实施例中,p-型非晶硅层110的p-型掺杂物可包括第三族元素,诸如硼或铝。在一个实施例中,硼是被用作为p-型掺杂物。含硼源的实例包括三甲基硼(TMB(或B(CH3)3))、二硼烷(B2H6)、BF3、B(C2H5)3、与类似化合物。在一个实例中,TMB被用作为p-型掺杂物。n-型微晶硅基层114的n-型掺杂物可包括第五族元素,诸如磷、砷、或锑。在一个实施例中,磷是被用作为n-型掺杂物。在本征型非晶硅层112形成作为具有低光学能带隙的膜层的特定实施例中,选择性界面阻挡层111可形成在p-型非晶硅层110与本征型非晶硅层112之间,以在随后热处理期间避免掺杂扩散发生。选择性界面阻挡层111与低膜能带隙本征型非晶硅层112的细节与实例是被揭示在2009年10月20日申请的美国专利申请案号12/582,323(代理人卷号14466),该专利申请通过引用整体结合于本说明书中。此外,选择性界面阻挡层111的进一步细节也还在下文讨论。在特定实施例中,p-型非晶硅层110可形成为具有约
Figure BPA00001578010000111
至约
Figure BPA00001578010000112
的厚度。在特定实施例中,选择性界面阻挡层111可形成为具有约
Figure BPA00001578010000113
至约
Figure BPA00001578010000114
的厚度。在特定实施例中,本征型非晶硅层112可形成为具有约至约的厚度。在特定实施例中,n-型微晶硅基层114可形成为具有约
Figure BPA00001578010000117
至约
Figure BPA00001578010000118
的厚度。典型地,第一p-i-n结116中的这些层是使用CVD或PVD处理来形成。可用以形成第一p-i-n结116的沉积处理的实例是进一步被描述在2007年2月6日申请的美国专利申请案号11/671,988(代理人卷号APPM 11709.P1),该专利申请通过引用整体结合于本说明书中。
随后,在一个实施例中,导电率匹配层118形成在第一p-i-n结116上。导电率匹配层118构造成增加返回到第一p-i-n结116的光反射,藉此增加结116中的电流产生。同样地,导电率匹配层118为选自具有折射率介于第一p-i-n结116中提供的相邻层(诸如n-型微晶硅基层114)的折射率与第二TCO层120的折射率之间的材料。由于n-型微晶硅基层114的折射率大致上为约3且第二TCO层120的折射率为约1.9,因此导电率匹配层118的折射率构造成介于约1.4与约1.8之间以增加返回到第一p-i-n结116的光反射。在一个实施例中,导电率匹配层118为含硅材料,诸如氧化硅、氮氧化硅、氮化硅、碳氧化硅(SiOC)、氮碳氧化硅(SiOCN)、或其它适当的含硅材料、或类似材料。在又另一实施例中,导电率匹配层118也可依需要构造成透明导电氧化物层,诸如铟锡氧化物(ITO)层、含氧化锌层、或含氧化锡层。在另一实施例中,导电率匹配层118不是连续膜层。举例而言,导电率匹配层118可形成为非连续膜层,诸如薄层的颗粒或岛(island),该非连续膜层建立不平坦表面而有助于将光反射且散射回到第一p-i-n结116。此外,导电率匹配层118也可具有对下方n-型微晶硅基层114的良好附着性,以在导电率匹配层118与n-型微晶硅基层114之间提供良好界面。又,导电率匹配层118也具有高膜透明度及低光吸收率,藉此减少当传送通过导电率匹配层118到达第二TCO层120时的光损失。在一个实施例中,导电率匹配层118可具有约
Figure BPA00001578010000119
至约
Figure BPA000015780100001110
的膜厚度,诸如约
Figure BPA00001578010000121
在一个实施例中,随后,第二TCO层120形成在导电率匹配层118上,并且包括铟锡氧化物(ITO)层、含氧化锌层、或含氧化锡层。在一个实例中,第二TCO层120形成为具有约2至20Ω/□的方块电阻与约50至300nm的厚度。在形成了第二TCO层120后,背金属电极122设置在第二TCO层120上。典型地,背金属电极122包括导电的金属材料,以有助于由第一p-i-n结116所产生的电流的传送。在一个实施例中,背金属电极122可包括两个层,这两个层具有高导电率且也可有助于光反射回到第一p-i-n结116。背金属电极122的结构与膜性质的细节将在下文参照图5进一步描述。
图2为根据本发明的实施例的串联结类型薄膜太阳能电池或太阳能电池200的侧视图。类似于图1绘示的单一结薄膜太阳能电池100的结构,第二p-i-n结136可设置在第一p-i-n结116与导电率匹配层118之间,以有助于在更宽广光谱中的光的吸收。此外,波长可选择反射件(WSR)层150可选择性地设置在第一p-i-n结116与第二p-i-n结136之间。在一个实施例中,WSR层150是有源地作为中间反射件,其具有期望的折射率或折射率范围,以反射从太阳能电池200的光入射侧所接收的光。在一个实施例中,设置在第p-i-n结116与p-i-n结136之间的WSR层150构造成具有可改善太阳能电池200中的光散射与电流产生的膜特性。此外,WSR层150也提供良好的p-n通道结,该p-n通道结具有会影响WSR层150的传送和反射性质的高电气导电率与定制的能带隙范围,以改善所形成的太阳能电池的光转换效率。WSR层150也作为结层,该结层可促进在第一p-i-n结116中短至中波长的光(例如280nm至800nm)的吸收以及改善短路电流,引起改善的量子与转换效率。WSR层150更具有对于中至长波长的光的高膜透射率,以促进光能传送到形成在结116中的这些层。又,大致上期望WSR层150能吸收尽可能少的光,同时能将期望波长的光(例如较短波长)反射回到第一p-i-n结116中的层且将期望波长的光(例如较长波长)传送到第二p-i-n结136中的层。此外,WSR层150可形成为具有期望能带隙与具有高电气导电率,藉此有效率地传导所产生的电流且容许电子能从第一p-i-n结116流动到第二p-i-n结136,并避免阻挡所产生的电流。期望WSR层150能将较短波长的光反射回到第一p-i-n结116,同时容许基本上全部的较长波长的光通过到第二p-i-n结136。通过形成具有期望波长的高膜透射率、低膜光吸收率、期望能带隙特性(例如宽广能带隙范围)与高电气导电率的WSR层150,可改善整体的太阳能电池转换效率。
在一个实施例中,WSR层150可以是微晶硅层,其具有设置在WSR层150内的n-型或p-型掺杂。在示范性实施例中,WSR层150为n-型结晶硅合金,其具有设置在WSR层150内的n-型掺杂。设置在WSR层150内的不同的掺杂也会影响WSR层膜光学与电气特性,诸如能带隙、结晶比例、导电率、透明度、膜折射率、消光系数等。在一些例子中,一个或多个掺杂物可掺杂到WSR层150的各种区域内,以有效率地控制且调整膜能带隙、功函数(work function)、导电率、透明度等。在一个实施例中,WSR层150是被控制成具有约1.4至约4的折射率、至少约2eV的能带隙、与大于约0.3S/cm的导电率。在一个实施例中,WSR层150可包括n-型掺杂硅合金层,诸如氧化硅(SiOx、SiO2)、碳化硅(SiC)、氮氧化硅(SiON)、氮化硅(SiN)、氮碳化硅(SiCN)、碳氧化硅(SiOC)、氮碳氧化硅(SiOCN)等。在示范性实施例中,WSR层150是n-型SiON或SiC层。WSR层的进一步细节与实例是被揭示在Sheng等人于2008年9月11日申请的美国专利申请案号12/208,478(代理人卷号13551)、Sheng等人于2008年12月19日申请的美国专利申请案号61/139,390(代理人卷号13551L)、Sheng等人于2009年6月9日申请的美国专利申请案号12/481,175(代理人卷号14329)、与Yang等人于2009年7月23日申请的美国专利申请案号61/227,844(代理人卷号14139L),这些专利申请通过引用整体结合于本说明书中。
形成在第一p-i-n结116或WSR层150上的第二p-i-n结136可包括p-型微晶硅层130、本征微晶硅层132、与n-型非晶硅层134。在特定实施例中,p-型微晶硅层130可形成为具有约
Figure BPA00001578010000131
至约
Figure BPA00001578010000132
的厚度。在特定实施例中,本征型微晶硅层132可形成为具有约
Figure BPA00001578010000133
至约
Figure BPA00001578010000134
的厚度。在特定实施例中,n-型非晶硅层134可形成为具有约
Figure BPA00001578010000135
至约
Figure BPA00001578010000136
的厚度。典型地,第二p-i-n结136中的这些层是使用CVD或PVD处理来形成。可用以形成第p-i-n结136的沉积处理的实例是进一步被描述在2007年2月6日申请的美国专利申请案号11/671,988(代理人卷号APPM11709.P1),该专利申请通过引用整体结合于本说明书中。
在操作中,太阳辐射101是主要由p-i-n结116、136的本征层112、132来吸收且被转换成电子-空穴对。建立在p-型层110、130与n-型层114、134之间且横跨本征层112、132的电场是造成电子朝向n-型层114、134流动且空穴朝向p-型层110、130流动,而产生了电流。在一个实施例中,第一p-i-n结116包括本征型非晶硅层112,并且第二p-i-n结136包括本征型微晶硅层132,这是因为非晶硅与微晶硅会吸收不同波长的太阳辐射101。所以,所形成的太阳能电池200是更有效率的,这是因为该太阳能电池200可捕获更大部分的太阳辐射光谱。非晶硅的本征层112以及微晶硅的本征层132为堆叠成使得太阳辐射101会先撞击本征型非晶硅层112且接着撞击本征型微晶硅层132,这是因为非晶硅具有比微晶硅更大的能带隙。在存在有WSR层150的情况中,没有被第一p-i-n结116吸收且被传送通过WSR层150的太阳辐射将持续行进到第二p-i-n结136上。
抗反射涂覆层
图3为绘示根据本发明的实施例的设置在衬底102的第一表面152上的抗反射涂覆(ARC)层103的放大图。如上所讨论,ARC层103经选择以具有介于空气的折射率与衬底102的折射率之间的折射率。在一个实施例中,ARC层103经选择以具有约1.1至1.4的折射率。在一个实施例中,ARC层103可通过将化学溶液喷涂到衬底103的第一表面152上的喷涂技术来制成。随后,执行烘烤处理以干燥且硬化喷退到衬底102的第一表面152上的化学溶液。经硬化和/或干燥的化学品接着在衬底102上形成薄的ARC层103,该ARC层103具有期望的膜组成。在一个实施例中,硬化/干燥处理的温度被控制在相对低的温度,诸如低于200℃,以赶出含在化学溶液中的过量的湿气和水。在一个实施例中,硬化/干燥处理的温度被控制在约低于100℃。
在一个实施例中,喷涂到衬底102的第一表面152上的化学溶液包括溶解在水溶液中的硅烷、氟(F)、与碳(C)。可认为,将氟(F)添加到化学溶液可影响形成在衬底102上的最终ARC层103的透射率。因此,通过主动地控制化学溶液中不同元素(诸如氟或碳元素)的浓度,可调整透射率与膜折射率以形成期望的ARC层103。在一个实施例中,用来形成ARC层103的化学溶液可包括溶解在水中的约40体积百分比至约79体积百分比的硅烷、约20体积百分比至约59体积百分比的氟、与约小于1体积百分比的碳。应了解,在此描述的水可包括任何形式的水,包括去离子水(D.I.水)、蒸气水、纯水、或任何适当的水。在一个实例中,化学溶液中的氟浓度是通过添加含氢氟酸的溶液来实现,并且碳与硅浓度是通过添加含烷基硅烷的溶液来实现。
在ARC层103形成在衬底102上后,ARC层103的表面可被抛光或表面处理,以提供具有期望膜粗糙度的膜表面。可认为,具有期望粗糙度的表面可有助于捕获光(光被输送经过衬底102到达形成在衬底102上的太阳能电池结)。在一个实施例中,ARC层103的表面能够用包括CeO2或Al2O3抛光流体来进行CMP抛光。或者,可通过本领域中任何适当的方式将ARC层103的表面机械地摩擦和/或抛光。在一个实施例中,ARC层103经表面处理以具有约50Ra的平均膜粗糙度。
在另一实施例中,ARC层103可通过PVD、CVD、或其它适当的沉积技术形成在衬底102的第一表面152上。因此,ARC层103可包括无机膜层,其具有期望的膜透射率与折射率而可有助于光经由衬底102传送到形成在衬底102上的太阳能电池结116、136。在一个实施例中,ARC层103可包括形成在衬底102的第一表面152上的氧化钛(TiO2)层、氧化锡(SnO2)层、氧化硅(SiO2)、或其组合。在另一实施例中,ARC层103可以是具有形成在衬底102的第一表面152上的一个或多个层的复合层。在一个实施例中,ARC层103可以是膜堆叠,该膜堆叠包括第一层302,第一层302形成在第二层304上,第二层304形成在衬底102上,如图3所示。在一个实施例中,第一层302可以是氧化硅(SiO2)层,并且第二层304是氧化钛(TiO2)层或氧化锡(SnO2)层,或反之亦可。在一个实施例中,形成ARC层103中的第一层302是氧化硅(SiO2)层,并且第二层304是氧化钛(TiO2)层。在另一实施例中,ARC层103可包括膜堆叠,该膜堆叠包括重复的氧化硅(SiO2)与氧化钛(TiO2)层。举例而言,ARC层103可包括膜堆叠,该膜堆叠具有第一对的第一氧化硅(SiO2)层与第一氧化钛(TiO2)层、以及第二对的第二氧化硅(SiO2)层与第二氧化钛(TiO2)层,这些层依序形成在衬底102上。应了解,第一氧化硅(SiO2)层与第二氧化钛(TiO2)层可依需要重复地形成多次。
在一个实施例中,第一层302是厚度为约20nm的氧化硅(SiO2)层且第二层304是厚度为约10nm且由PVD处理来制成的氧化钛(TiO2)层。在另一实施例中,ARC层103的整体厚度可被控制在约30nm至约3000nm,诸如约50nm至约1000nm。如上所讨论,利用此复合膜堆叠的ARC层103可具有约1.1至1.4的折射率。
阻挡层
图4是绘示根据本发明的实施例的设置在衬底102的第二表面154上的阻挡层104的放大图。如上所讨论,阻挡层104可提供和ARC层103类似的功能,这是因为阻挡层104大致上意图用来改善光到结116、136的传送。大致上,阻挡层104构造成具有在衬底102与第一透明导电氧化物(TCO)层106之间的良好的附着性、具有良好电气导电率、且还作为阻挡物以避免在随后处理期间任何污染物(例如钠(Na))从衬底102扩散到TCO层106与结116、136内(污染物会影响所形成的太阳能电池装置的效率)。阻挡层104选自具有高膜透明度、高光散射、高膜导电率、高电气迁移率、与足够阻挡性质(例如密度)以抑制可移动污染物的移动的材料。
在一个实施例中,阻挡层104可以是氧化钛(TiO2)层、氧化锡(SnO2)层、氧化铝(Al2O3)层、或其组合。在另一实施例中,阻挡层104可以是含硅层,诸如氧化硅层、氮化硅层、氮氧化硅层、或其组合。不同的掺杂(诸如铁(Fe)、铬(Cr)、铝(Al)、或其它适当的元素)可掺杂到阻挡层104内,以改善膜透明度与导电率。在一个实施例中,阻挡层104是氧化锡(SnO2)层、掺杂铁的氧化锡(SnO2:Fe)层、氧化钛(TiO2)层、掺杂铁的氧化钛(TiO2:Fe)层、氧化铝(AlxOy)层、或任何其它适当的材料。在绘示于图4的示范性实施例中,阻挡层104可以是复合膜,该复合膜具有第一层404,第一层404形成在第二层402上。在一个实施例中,第一层404可以是氧化钛(TiO2)层,并且第二层402可以是氧化锡(SnO2)层。在另一实施例中,第一层404可以是氧化硅(SiO2)层,并且第二层402可以是氧化锡(SnO2)层。在又另一实施例中,第一层404可以是氧化硅(SiO2)层,并且第二层402可以是一氮氧化硅(SiON)层或氧化硅层。阻挡层104可具有约
Figure BPA00001578010000171
至约
Figure BPA00001578010000172
的厚度,诸如约
Figure BPA00001578010000173
至约
背金属电极层
图5为绘示根据本发明的实施例的设置在第二TCO层120上的背金属电极122的放大图。为了描述清晰起见,图5仅绘示形成在太阳能电池100、200中的背金属电极122与第二TCO层120。背金属电极122可有助于光反射回到太阳能电池结116、136,藉此改善电流收集与产生。背金属电极122可包括但不限于选自由Al、Ag、Ti、Cr、Au、Cu、Pt、其合金、或其组合所构成群组的材料。在特定实施例中,背金属电极122可以是银(Ag)层,该银层中具有不同的掺杂物。可掺杂到银层内的适当的掺杂包括硅(Si)、钯(Pa)、或其它适当的元素。诸如硅或钯的掺杂可在所形成的含银(Ag)层中具有被控制在约0.1重量百分比至约10重量百分比的浓度,诸如0.15重量百分比至约7重量百分比。在一个实施例中,掺杂的银(Ag)层可通过PVD处理来形成,其中该PVD处理使用银靶材,该银靶材中设置有期望浓度的掺杂材料。在此情况中,用在PVD处理中的靶材可选自银基靶材,该银基靶材具有约0.1重量百分比至约10重量百分比的硅浓度。同样地,在背金属电极122构造成形成掺杂钯的银层(Ag:Pd)的实施例中,用在PVD处理中的靶材可选自银基靶材,该银基靶材中掺杂有约0.1重量百分比至约10重量百分比的浓度的钯元素。可认为,相较于纯银和其它银硅合金,从银钯合金(Ag:Pd)形成的背金属电极122对在500nm至1100nm之间波长具有高反射率(诸如≥90%),同时也供应改善的附着性质。在一个实例中,浓度为约0.25重量百分比至约7重量百分比的掺杂钯的银层(Ag:Pd)能够达到90%的反射率。
在一个实例中,背金属电极122可是复合层的形式,该复合层具有第一层502与第二层504,第二层504设置在第一层502上,如图5所示。额外的界面层501可设置在背金属电极122的第一层502与第二TCO层120之间,以改善界面附着性,从而使得随后形成的背金属电极122的第一层502将可靠地附着到第二TCO层120。在一个实施例中,额外的界面层501可以是薄铬(Cr)层,其具有被控制在小于
Figure BPA00001578010000181
的厚度(诸如约小于
Figure BPA00001578010000182
)。在一个实施例中,如图5所示,背金属电极122包括第一层502,第一层502由掺杂硅(Si)或掺杂钯(Pd)的银(Ag)层制成,并且硅或钯掺杂浓度被控制在约0.25重量百分比、并且具有约
Figure BPA00001578010000183
至约
Figure BPA00001578010000184
的厚度(诸如小于)。第二层504由镍钒(NiV)合金制成,该镍钒(NiV)合金具有约
Figure BPA00001578010000186
至约
Figure BPA00001578010000187
的厚度(诸如小于
Figure BPA00001578010000188
)。可在背金属电极122上方提供其它膜、材料、衬底、和/或封装,以完成太阳能电池装置。多个所形成的太阳能电池可以内连接以形成多个太阳能电池或多个太阳能电池模块,这些太阳能电池或太阳能电池模块接着可连接以形成多个太阳能电池阵列(太阳能电池阵列通常是通过使用刻划处理来形成)。在衬底上形成多个内连接的太阳能电池的处理的实例是进一步被描述在2009年6月12日申请的美国专利申请案号12/483,948(代理人卷号APPM 14174),该专利申请通过引用整体结合于本说明书中。
太阳能电池有源区
回到图1和图2,在沉积p-型非晶硅层110前,重掺杂或简并掺杂的非晶硅层或微晶硅层109可形成在导电接触层108上。可认为,简并掺杂(degenerate doping)可通过提供低电阻接触或欧姆类型接触来改善电荷收集。也可认为,简并掺杂可改善非晶硅层的导电率。重掺杂或简并掺杂的非晶硅层或微晶硅层109的详细描述和实例是被揭示在Sheng等人于2009年6月9日申请的美国专利申请案号12/481,175(代理人卷号14329),该专利申请通过引用整体结合于本说明书中。层109的电荷收集特性为大致上通过使用掺杂的半导体层(诸如掺杂有p-型或n-型掺杂的硅层)来提供。p-型掺杂物一般是第三族元素,诸如硼或铝。n-型掺杂物通常是第五族元素,诸如磷、砷、或锑。在大部分实施例中,硼是被用作为p-型掺杂物,并且磷被用作为n-型掺杂物。可通过将含硼或含磷化合物包括在反应混合物中将这些掺杂物添加到上述的p-型与n-型层110、130、114、134。适当的硼与磷化合物通常包括经取代与未取代的较低的硼烷与膦寡聚物。一些适当的硼化合物包括三甲基硼(B(CH3)3或TMB)、二硼烷(B2H6)、三氟化硼(BF3)、与三乙基硼烷(B(C2H5)3或TEB)。膦(Phosphine)是最普遍的含磷化合物。掺杂通常伴随着载气(诸如氢、氦、氩、与其它适当的气体)来提供。若氢被用作为载气,反应混合物中的总氢包括氢气以及载气中提供的氢两者。因此,氢比例将包括用于掺杂物的载气的氢。
掺杂物将通常被提供为在惰性气体中的稀释气体混合物。举例而言,掺杂物能够被提供成在载气中为约0.5%的摩尔或体积浓度。若掺杂物被提供成在以1.0sccm/L流动的载气中为0.5体积百分比的浓度,最终的掺杂物流速将为0.005sccm/L。根据期望的掺杂程度,掺杂物能够以约0.0002sccm/L至约0.1sccm/L的流速被提供到反应腔室。
在一个实施例中,微晶硅层109是简并掺杂的p-型非晶硅层(例如重掺杂的p-型非晶硅,p++层)。简并(例如重)掺杂的p++型非晶硅层可具有高于p-型非晶硅层110的第三族元素掺杂浓度。简并掺杂的p++型非晶硅层109具有相当于下述层的掺杂浓度,其中该层为使用在约2至约2.5Torr压力下体积流速比例为约2∶1至约6∶1的TMB与硅烷(其中TMB前驱物包括0.5%摩尔或体积浓度的TMB)来形成。简并(例如重)掺杂的p++型非晶硅层109为在约45mW/cm2(2400W)至约91mW/cm2(4800W)的等离子体功率下来形成。在一个实例中,可通过下述条件来形成简并掺杂的p++型非晶硅层109:在约2.1sccm/L(例如6000sccm)至约3.1sccm/L(例如9000sccm)的流速下提供硅烷、在使得氢气对硅烷气体混合物比例为约6.0的流速下提供氢气、在TMB气体(例如0.5%摩尔或体积浓度的TMB)对硅烷气体混合物体积流速比例为6∶1的流速下提供掺杂前驱物;同时,衬底支撑件温度维持在约200℃、等离子体功率被控制在约57毫瓦(mW)/cm2(3287瓦特)、且腔室压力维持在约2.5Torr持续约2至10秒,以形成约10至
Figure BPA00001578010000191
膜(诸如
Figure BPA00001578010000192
膜)。在一个实施例中,重掺杂的非晶硅层109具有形成在非晶硅层中的约1020atoms(原子)/cm3至1021atoms/cm3的第三族元素掺杂浓度。
在一个实施例中,简并掺杂的p++型非晶硅109可形成为重掺杂的p-型非晶碳化硅层。在形成重掺杂的p++型非晶碳化硅层109时,可通过供应含碳气体到气体混合物内来提供碳元素。在一个实施例中,甲烷或其它含碳化合物(诸如CH4、C3H8、C4H10、或C2H2)的添加可用以形成比其它含硅材料吸收更少光的重掺杂的p-型非晶碳化硅层109。可认为,将碳添加到简并掺杂的p++型非晶硅层109将可改善膜的透明度,以使得在使用期间会吸收较少的光,藉此改善太阳能电池的转换效率。在一个实施例中,重掺杂的p-型非晶碳化硅层109中的碳浓度是被控制到约1原子百分比至约50原子百分比的浓度。在一个实施例中,重掺杂的p-型非晶碳化硅层109具有约至约
Figure BPA00001578010000202
的厚度,诸如约
Figure BPA00001578010000203
至约
Figure BPA00001578010000204
例如约
Figure BPA00001578010000205
至约
Figure BPA00001578010000206
在一个实施例中,重掺杂的p-型层109是重掺杂的p-型非晶硅层,随后形成在重掺杂的p-型层109上的p-型非晶硅层110可构造成p-型非晶硅层或p-型非晶碳化硅层。在重掺杂的p-型层109形成为重掺杂的非晶碳化硅层的另一实施例中,随后形成在重掺杂的p-型层109上的p-型非晶硅层110可构造成p-型非晶碳化硅层。重掺杂或简并掺杂的非晶硅层或微晶硅层的详细描述和实例是被揭示在Sheng等人于2009年6月9日申请的美国专利申请案号12/481,175(代理人卷号14329),该专利申请通过引用整体结合于本说明书中。
在简并掺杂的p-型非晶硅层109形成在导电接触层108上后,p-型非晶硅层110可通过提供氢气对硅烷气体的比例为约20∶1或更小的气体混合物来沉积。硅烷气体可在约1sccm/L至约10sccm/L的流速下提供。氢气可在约5sccm/L至60sccm/L的流速下提供。三甲基硼可在约0.005sccm/L至约0.05sccm/L的流速下提供。若三甲基硼被提供成在载气中为0.5%摩尔或体积浓度,则掺杂物/载气混合物可在约1sccm/L至约10sccm/L的流速下提供。此外,可供应含碳气体在气体混合物中,以沉积p-型非晶硅层106以及将p-型非晶硅层106形成为掺杂碳的p-型非晶硅层。适当的含碳气体的实例包括CH4、C3H8、C4H10、或C2H2、或任何适当的含碳气体。含碳气体可在约0.001sccm/L至约5sccm/L的流速下提供。在约0.1Torr至20Torr的腔室压力下(例如约1Torr至约4Torr)施加约15mW/cm2至约200mW/cm2的RF功率将以约
Figure BPA00001578010000211
或更大地来沉积p-型非晶硅层110。在一个实施例中,p-型非晶硅层110具有约1018atoms/cm3至1020atoms/cm3的第三族元素掺杂浓度。
在p-型非晶硅层110形成在衬底102上后,阻挡层(诸如图1和图2绘示的界面阻挡层111)可形成在p-型非晶硅层110上。应了解,可在与形成p-型非晶硅层110相同的腔室内形成界面阻挡层111。应了解,也可在与形成随后本征型半导体层112相同的腔室内形成界面阻挡层111。或者,界面阻挡层111可依需要形成在任何适当的沉积腔室中,诸如分离的独立CVD或PVD沉积腔室。
在一个实施例中,界面阻挡层111中掺杂有诸如碳、氮、或其它适当元素。在一个实施例中,界面阻挡层111形成为含碳的含硅层。举例而言,界面阻挡层111形成为掺杂碳的非晶硅层,诸如碳化硅层(SiC)。界面阻挡层111作为覆盖层和/或阻挡层,其可在随后沉积处理期间避免在下方p-型非晶硅层110中诸如硼的掺杂物扩散到邻近的层,藉此减少污染或损坏形成在衬底102上的膜层与太阳能电池装置的可能性。可认为,形成在界面阻挡层111中的碳元素可有效地强化硅键合微结构,硅键合微结构中具有更强的硅-碳(Si-C)键,藉此提更强健的键合网络且因此避免杂质和掺杂物扩散到邻近的膜层。硼扩散认为是通过特定缺陷(诸如形成在非晶硅中的悬挂键,或结晶硅层中的填隙子)来协助。所以,形成在界面阻挡层111中的碳元素可作为捕获碳元素的捕获位置,从而能减缓或消除硼扩散。
又,界面阻挡层111可以是富碳膜,其稳定到足以忍受在随后处理中用来形成或改变太阳能电池的一部分的高温或等离子体处理环境。富碳界面阻挡层111也提供制造柔性,以补偿从下方p-型层110的微小结构变化,以使得在太阳能电池结构中添加界面阻挡层111将仅会产生可忽略的串联电阻增加。选择性界面阻挡层111与低膜能带隙本征型非晶硅层112的细节与实例是被揭示在Sheng等人于2009年10月20日申请的美国专利申请案号12/582,323(代理人卷号14466),该专利申请通过引用整体结合于本说明书中。在一个实施例中,可通过提供至少包括含碳气体与含硅气体的气体混合物来沉积界面阻挡层111。适当的含碳气体的实例包括CH4、C3H8、C4H10、或C2H2、或任何适当的含碳气体。适当的含硅气体的实例包括硅烷(SiH4)、二硅烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)、其组合等。在示范性实施例中,用以沉积界面阻挡层111的含碳气体为CH4,并且用以沉积阻挡层的含硅气体为SiH4。被供应在气体混合物中的CH4具有约0.5∶1或更小对硅烷气体的比例。硅烷气体可在约1sccm/L至约10sccm/L的流速下提供。在约15mW/cm2至约200mW/cm2下的RF功率被施加到处理腔室。腔室压力被维持在约0.1Torr至20Torr,诸如约1Torr至约4Torr。在一个实施例中,阻挡层108形成为具有约
Figure BPA00001578010000221
至约
Figure BPA00001578010000222
的厚度,诸如约
Figure BPA00001578010000223
至约
Figure BPA00001578010000224
例如约
Figure BPA00001578010000225
在界面阻挡层111与p-型非晶硅层110形成在相同腔室的实施例中,可在不同的沉积处理步骤期间改变被供应到处理腔室的气体混合物以在衬底上沉积不同的层。举例而言,在一个实施例中,被供应来沉积p-型非晶硅层110的气体混合物可至少包括含硅气体、含碳气体、与含第三族元素气体,而被供应来沉积界面阻挡层111的气体混合物可至少包括含硅气体与含碳气体。
在一个实施例中,在相同腔室中沉积p-型非晶硅层110与界面阻挡层111期间,被供应到处理腔室的气体混合物起初可包括含硅气体、含第三族元素气体、与含碳气体。在p-型非晶硅层110已经在衬底102上达到了期望厚度后,可随后关闭被供应在气体混合物中的含第三族元素气体而不必破坏真空,以在衬底上沉积界面阻挡层111。被供应在气体混合物中的这些气体物种可平顺地被切换而转变,以沉积p-型非晶硅层110,并且界面阻挡层111可具有分级界面。在此实例中,可在单一腔室中将这些材料层沉积在衬底102上,而不需要在不同腔室之间进行传送。应了解,可依需要改变气体流速以沉积这些层中的各个层。
在界面阻挡层111形成在衬底102上后,本征型非晶硅层112(图1至图2)接着形成在界面阻挡层111上。本征型非晶硅层112是在相对高温、相对低压、与相对低氢稀释比例(例如低H2/SiH4比例)的处理条件下来形成。在此描述的相对高温、相对低压、与相对低氢稀释比例(例如低H2/SiH4比例)处理条件产生具有相对较低的膜能带隙的本征型非晶硅层112,其可吸收更宽广的光谱且改善太阳能电池的转换效率。可认为,沉积处理期间所使用的相对高温可有助于制造具有低缺陷密度和高电流产生的较小能带隙的膜,这可有利地改善太阳能电池结的整体转换效率。在一个实施例中,可认为,相较于更传统方式形成的非晶硅层(其通常具有约1.78eV的能带隙),在此描述的处理可用来将非晶硅层112的能带隙减少到约1.70eV至约1.75eV。较佳地,所形成的非晶硅层112的能带隙为约1.70eV至约1.72eV。所以,使用在此描述的沉积技术,所形成的非晶硅层可提供约5%减少的有效能带隙(该有效能带隙以托克方法(Tauc method)来测量)。在一个实施例中,沉积温度是被控制在大于200℃的范围,诸如约220℃至约300℃,例如约220℃至约250℃。在特定情况中,高温沉积处理的使用可使得在随后沉积处理期间p-型非晶硅层110中的掺杂物扩散到本征型非晶硅层112或相邻膜层内。因此,通过添加设置在p-型非晶硅层110与本征型非晶硅层112之间的界面阻挡层111,界面阻挡层111可用来抑制掺杂物扩散到本征型非晶硅层112内,藉此维持本征型非晶硅层112的纯度与期望性质。
再者,用以形成本征型非晶硅层112的相对低的沉积压力(诸如小于2.5Torr)也可有助于沉积具有良好光电和微结构特性的层以及有助于在最终的非晶硅膜中形成有较少的团簇相(cluster phase)氢,引起所形成膜层中较小的能带隙与改善的电气性质。可认为,形成在最终膜中的较高的团簇相氢可增加最终膜的结晶度,藉此减少了将最终膜形成为非晶硅层的可能性。在一个实施例中,沉积期间所控制的处理压力是小于约2.5Torr,诸如约小于2Torr,诸如约0.1Torr与约1.8Torr,例如约1Torr与约1.5Torr。可提供约15mW/cm2至约250mW/cm2的RF功率到处理腔室。
此外,被供应在气体混合物中以为了沉积本征型非晶硅层112的相对低的氢稀释也可有助于沉积具有较小能带隙的本征型非晶硅层112。可认为,沉积处理期间较低的氢气流将减少所形成的本征型非晶硅层中的氢含量,藉此减少在非晶硅层112中形成结晶区域的可能性,因此倾向于产生非晶膜而不是微晶硅膜。可通过将氢原子定位在本征型非晶硅层112的非晶硅状态中来产生较小能带隙的非晶硅层。非晶硅层可有助于获得较长波长的光,藉此增加太阳能电池中的电流产生。在一个实施例中,被供应在气体混合物中的氢对硅烷比例(H2/SiH4)被控制到约小于10(诸如小于6,例如约2至约5)的程度。或者,被供应在气体混合物中的硅烷气体可被控制在约1sccm/L至约10sccm/L的流速。氢气可被控制在约2sccm/L至100sccm/L的流速。若需要的话,选择性载气或惰性气体(诸如Ar与He等)也可被提供到气体混合物内。在载气或惰性气体被供应到处理腔室内的实施例中,载气或惰性气体的气体流速可被控制在约2sccm/L至约100sccm/L。
由此,通过在沉积处理期间提供相对高的沉积温度、相对低的沉积压力、与低氢稀释比例,可获得具有低膜能带隙的本征型非晶硅膜112。又,通过添加界面阻挡层111于p-型非晶硅层110与本征型非晶硅层112之间,界面阻挡层111可用以避免含在p-型非晶硅层110内的掺杂物扩散到相邻和/或上方的本征型非晶硅层112,藉此形成具有期望纯度、电气性质与光学性质的本征型非晶硅层112。所以,用以形成本征型非晶硅层的高温处理将不会损坏或破坏所形成的太阳能电池的膜性质或装置性能,一般会在传统形成的装置中发现损坏或破坏所形成的太阳能电池的膜性质或装置性能。通过使用上述本征型非晶硅沉积处理与阻挡层形成处理,所形成的非晶硅基太阳能电池结可有效地转换更长波长的光,甚至在通过延长的光浸泡来校正光引发劣化(light induced degradation,LID)后,藉此改善整体装置性能与太阳能电池装置转换效率。
在一个实施例中,本征型非晶硅层112可形成为具有约
Figure BPA00001578010000241
至约
Figure BPA00001578010000242
的厚度,诸如约
Figure BPA00001578010000243
至约例如约
Figure BPA00001578010000245
至约
Figure BPA00001578010000246
可认为,具有较大厚度的本征型非晶硅层112(诸如大于
Figure BPA00001578010000247
)可增加本征型非晶硅层112中的光吸收,藉此使光保持在本征型非晶硅层112中更长时间以达到更高的电流产生。在一个实施例中,本征型非晶硅层112可形成为具有约
Figure BPA00001578010000248
至约
Figure BPA00001578010000249
的厚度。
在本征型非晶硅层112形成在界面阻挡层111上后,n-型微晶硅层114(图1至图2)形成在本征型非晶硅层112上。在一个实施例中,可通过提供氢气对硅烷气体的比例为约100∶1或更大(诸如约500∶1或更小,诸如约150∶1至约400∶1,例如约304∶1或约203∶1)来形成n-型微晶硅层114。硅烷气体可在约0.1sccm/L至约0.8sccm/L的流速下提供,诸如约0.32sccm/L至约0.45sccm/L,例如约0.35sccm/L。氢气可在约30sccm/L至约250sccm/L的流速下提供,诸如约68sccm/L至约143sccm/L,例如约71.43sccm/L。膦可在约0.0005sccm/L至约0.006sccm/L的流速提供,诸如约0.0025sccm/L至约0.015sccm/L,例如约0.005sccm/L。换句话说,若膦被提供成在载气中为0.5%摩尔或体积浓度,则掺杂物/载气可在约0.1sccm/L至约5sccm/L的流速下提供,诸如约0.5sccm/L至约3sccm/L,例如约0.9sccm/L至约1.088sccm/L。在约1Torr至约100Torr的腔室压力下(例如约3Torr至约20Torr,诸如约4Torr至约12Torr,例如约6Torr或约9Torr)施加约100mW/cm2至约900mW/cm2的RF功率(诸如约370mW/cm2)将以约
Figure BPA00001578010000251
或更大的速率(诸如约
Figure BPA00001578010000252
或更大)来沉积具有约20%至约80%(例如约50%至约70%)的结晶比例的n-型微晶硅层。
在一个实施例中,一个或多个元素可被添加到n-型微晶硅层114,诸如添加碳原子,其是通过将反应性气体混合物补充以碳源来形成n-型微晶碳化硅(mc-SiC)层。举例而言,在形成n-型微晶硅层114时,可通过添加碳源(诸如甲烷(CH4)、C3H8、C4H10、或C2H2)到气体混合物来添加碳。大致上,大部分的C1-C4碳氢化合物可被用作为碳源。在一个实施例中,形成作为n-型微晶硅层114的n-型碳化硅层可具有约1原子百分比至约50原子百分比的碳。可通过调整处理腔室中前驱物气体的比例来调整次要成分的量。可在形成多个层化结构的步骤中调整这些比例,或可在形成具有分级组成的单一层的步骤中连续地调整这些比例。在一个实施例中,含碳气体流(诸如甲烷(CH4)气体流)对硅烷流速的比例为约0至约0.5,诸如约0.20至约0.35,例如约0.25。在馈送中,可改变甲烷气体对硅烷的比例,以调整在所沉积的n-型微晶硅层114中碳的量。
可认为,沉积在n-型微晶硅层中的碳原子将容许高导电层的形成,该高导电层具有可调整的能带隙与折射率。微晶碳化硅可例如发展成结晶比例高于60%、能带隙宽度高于2eV、且导电率大于0.1S/cm的层。可通过改变反应混合物中甲烷对硅烷的比例来调整能带隙与折射率。在一个实施例中,甲烷气体流速对硅烷流速的比例为约0至约0.5,诸如约0.20至约0.35,例如约0.25。此外,可以约
Figure BPA00001578010000261
的速率来进行沉积而具有小于10%的厚度变化。可调整的折射率容许具有宽广能带隙的高导电的反射层的形成,引起改善的电流与填充因子。掺杂的n-型微晶硅层114的详细描述与实例是被揭示在Sheng等人于2008年9月11日申请的美国专利申请案号12/208,478(代理人卷号13551)以及Sheng等人于2008年12月19日申请的美国专利申请案号61/139,390(代理人卷号13551L),这些专利申请通过引用整体结合于本说明书中。
现参照图2,在第一p-i-n结116与选择性WSR层150形成在衬底102上后,第二p-i-n结136随后形成在第一p-i-n结116和/或WSR层150上。第二p-i-n结136包括p-型微晶硅层130、本征型微晶硅层132、与n-型非晶硅层134。在一个实施例中,可通过在氢对硅烷的比例为约200∶1或更大(诸如1000∶1或更小,例如约250∶1至约800∶1,并且在进一步实例中为约601∶1或约401∶1)下提供氢气与硅烷气体的气体混合物来沉积p-型微晶硅层130。硅烷气体可在约0.1sccm/L至约0.8sccm/L的流速下提供,诸如约0.2sccm/L至约0.38sccm/L。氢气可在约60sccm/L至约500sccm/L的流速下提供,诸如约143sccm/L。TMB可在约0.0002sccm/L至约0.0016sccm/L的流速下提供,诸如约0.00115sccm/L。若TMB被提供成在载气中为0.5%摩尔或体积浓度,则掺杂物/载气混合物可在约0.04sccm/L至约0.32sccm/L的流速下提供,诸如约0.23sccm/L。已经发现,通过施加约50mW/cm2至约700mW/cm2的RF功率(诸如约290mW/cm2至约440mW/cm2)且维持腔室压力于约1Torr至约100Torr(较佳是约3Torr至约20Torr,更佳是4Torr至约12Torr,诸如约7Torr或约9Torr),可以在约
Figure BPA00001578010000262
或更大的沉积速率(诸如约)下来沉积结晶比例为约20%至约80%(较佳是50%至约70%)的用于微晶硅层的p-型微晶硅层。
在p-型微晶硅层130形成在衬底102上后,本征型微晶硅层132接着形成在p-型微晶硅层130上。可通过在氢对硅烷的比例为约20∶1至约200∶1下提供硅烷气体与氢气的气体混合物来沉积本征型微晶硅层132。硅烷气体可在约0.5sccm/L至约5sccm/L的流速下提供。氢气可在约40sccm/L至约400sccm/L的流速下提供。在特定实施例中,在沉积期间,硅烷流速可从第一流速上升到第二流速。在特定实施例中,在沉积期间,氢气流速可从第一流速上升到第二流速。在约1Torr至约100Torr的腔室压力下(例如约3Torr至约20Torr,诸如约4Torr至约12Torr)施加约300mW/cm2或更大的RF功率(诸如约600mW/cm2或更大)将大致上在约
Figure BPA00001578010000271
或更大的速率(例如约
Figure BPA00001578010000272
)下来沉积结晶比例为约20%至约80%(诸如约55%至约75%)的本征型微晶硅层。在一个实施例中,所沉积的本征型微晶硅层具有约60%至约65%的结晶比例且具有期望的层厚度,以为了能够平衡由于结晶比例增加造成的沉积速率的降低以及由于结晶比例增加造成的所形成层的低期望厚度。在一些实施例中,在沉积期间,将所施加的RF功率的功率密度从第一功率密度上升到第二功率密度是有利的。
在另一实施例中,可在多个步骤中来沉积本征型微晶硅层132,各个形成的区域具有不同的结晶比例。在一个实施例中,例如,氢对硅烷的比例可在四个步骤中从100∶1被降低到95∶1,被降低到90∶1,且接着被降低到85∶1。在一个实施例中,硅烷气体可在约0.1sccm/L至约0.5sccm/L的流速下提供,诸如约0.97sccm/L。氢气可在约10sccm/L至约200sccm/L的流速下提供,诸如约80sccm/L至约105sccm/L。在沉积具有多个步骤(诸如四个步骤)的示范性实施例中,氢气流可在第一步骤中开始于约97sccm/L,并且可在随后的处理步骤中渐渐地分别降低到约92sccm/L、88sccm/L、与83sccm/L。同时,氢对硅烷比例在各个处理步骤中分别被控制在100∶1、95∶1、90∶1、与85∶1。在约1Torr至约100Torr的腔室压力下(例如约3Torr至约20Torr,诸如约4Torr至约12Torr,诸如约9Torr)施加约300mW/cm2或更大的RF功率(诸如约490mW/cm2)将造成以约或更大的速率(诸如)沉积本征型微晶硅层。
在本征型微晶硅层132形成在p-型微晶硅层130上后,n-型非晶硅层134可形成在本征型微晶硅层132上。或者,可从结晶比例为约20%至约100%的结晶硅基膜来形成n-型硅层134。在n-型层134形成为n-型非晶硅层的实施例中,可通过提供含有氢气对硅烷气体的比例为约20∶1或更小(诸如约5.5∶1或7.8∶1)的气体混合物来沉积n-型非晶硅层134。硅烷气体可在约0.1sccm/L至约10sccm/L的流速下提供,诸如约1sccm/L至约10sccm/L、约0.1sccm/L至约5sccm/L、或约0.5sccm/L至约3sccm/L,例如约1.42sccm/L或约5.5sccm/L。氢气可在约1sccm/L至约40sccm/L的流速下提供,诸如约4sccm/L至约40sccm/L或约1sccm/L至约10sccm/L,例如约6.42sccm/L或27sccm/L。膦可在约0.0005sccm/L至约0.075sccm/L的流速下提供,诸如约0.0005sccm/L至约0.0015sccm/L或约0.015sccm/L至约0.03sccm/L,例如约0.0095sccm/L或0.023sccm/L。若膦被提供成在载气中为0.5%摩尔或体积浓度,则掺杂物/载气混合物可在约0.1sccm/L至约15sccm/L的流速下提供,诸如约0.1sccm/L至约3sccm/L、约2sccm/L至约15sccm/L、或约3sccm/L至约6sccm/L,例如约1.9sccm/L或约4.71sccm/L。在约0.1Torr至约20Torr的腔室压力下(较佳是约0.5Torr至约4Torr,诸如约1.5Torr)施加约25mW/cm2至约250mW/cm2的RF功率(诸如约60mW/cm2或约80mW/cm2)将以约
Figure BPA00001578010000281
或更大的速率(例如约
Figure BPA00001578010000282
或更大,诸如约或约
Figure BPA00001578010000284
)来沉积n-型非晶硅层134。
在n-型硅层134形成为n-型微晶硅层的实施例中,可通过类似于或相同于上述用以形成第一p-i-n结116的n-型微晶硅层114的处理的处理来形成n-型微晶硅层134。
在一个实施例中,一个或多个元素可被添加到n-型非晶或微晶硅层134,诸如添加碳原子,其是通过将反应性气体混合物补充以碳源来形成n-型微晶/非晶碳化硅(mc-SiC或α-SiC)层。举例而言,在形成n-型微晶/非晶碳化硅(mc-SiC或α-SiC)层134时,可通过添加碳源(诸如甲烷(CH4)、C3H8、C4H10、或C2H2)到气体混合物来添加碳到膜。大致上,大部分的C1-C4碳氢化合物可被用作为碳源。在一个实施例中,形成作为微晶/非晶碳化硅(mc-SiC或α-SiC)层134的n-型碳化硅层可具有约1原子百分比至约50原子百分比的碳。可通过调整处理腔室中前驱物气体的比例来调整次要成分的量。可在形成多个层化结构的步骤中调整这些比例,或可在形成具有分级的单一层的步骤中连续地调整这些比例。在一个实施例中,含碳气体流(诸如甲烷(CH4)气体流)对硅烷流速的比例为约0至约0.5,诸如约0.20至约0.35,例如约0.25。在馈送中,可改变甲烷气体对硅烷的比例,以调整在所沉积的n-型微晶/非晶碳化硅(mc-SiC或α-SiC)层134中碳的量。
应了解,在本发明的许多实施例中,可使用预清洁处理来预备用以沉积任何上述层的衬底和/或反应腔室。可通过以约10sccm/L至约45sccm/L(诸如约15sccm/L至约40sccm/L,例如约20sccm/L与约36sccm/L)供应氢气或氩气到处理腔室来执行氢或氩等离子体预处理,以从衬底和/或腔室壁的暴露表面移除污染物。在一个实例中,氢气可在约21sccm/L下供应,或氩气可在约36sccm/L下供应。可通过施加约10mW/cm2至约250mW/cm2(诸如约25mW/cm2至约250mW/cm2,例如对于氢处理为约60mW/cm2或约80mW/cm2,并且对于氩处理为约25mW/cm2)的RF功率来实现此处理。在许多实施例中,在沉积p-型非晶硅层前执行氩等离子体预处理以及在沉积其它类型的层前执行氢等离子体预处理是有利的。
如上所讨论,在第二p-i-n结136形成在衬底102上后,导电率匹配层118、第二TCO层120与背金属电极122接着依序地形成在第二p-i-n结136上,以完成如图2所示的串联结太阳能电池200的制造。
实例
图6为绘示根据本发明的实施例的用以在衬底上制造太阳能电池的处理流程图。类似于上述参照图1和图2所讨论的结构,处理顺序600开始于步骤602,其中ARC层形成在衬底102的表面上。在一个实施例中,使用上述形成ARC的一个或多个处理步骤来执行步骤602。在一个实施例中,ARC层是在玻璃制造过程期间形成,并且因此步骤602包括提供衬底(诸如衬底102)到太阳能电池生产线(诸如图9所示的生产线900),该衬底上形成有ARC层103。在一个实施例中,ARC层103是含氟与碳的硅基材料,该含氟与碳的硅基材料通过溶胶-凝胶喷涂处理被涂覆在衬底102上。在另一实施例中,ARC层103是无机材料(诸如TiO2层、SiO2与TiO2层的复合膜、或其它适当材料),该无机材料通过CVD、PVD或其它适当的沉积技术来形成。在示范性实施例中,ARC层103是具有膜堆叠的复合膜,该膜堆叠包括依序形成在衬底102的第一表面152上的第一对的SiO2与TiO2层以及第二对的SiO2与TiO2层。在一个实施例中,ARC层103具有约1.1至1.4的膜折射率与约30nm至约3000nm的厚度。
在一个实施例中,可认为,设置在衬底102上的ARC层103可提高太阳能电池100、200的整体电流增益(转换效率)达约2-3%。在一个实施例中,衬底102是由具有铁浓度为约0.01重量百分比至约0.03重量百分比的低铁玻璃制成。
在步骤604,阻挡层104可形成在衬底102的第二表面154上。如上所讨论,阻挡层104可由具有高膜导电率与迁移率的材料来形成,藉此减少第一TCO层106的电阻。在一个实施例中,阻挡层104是氧化锡层(SnO2)或掺杂铁的氧化锡层(SnO2:Fe)。在另一实施例中,阻挡层104是氮氧化硅、氮化硅、氧化硅层、或其组合。在一个实施例中,阻挡层104可通过太阳能电池***的生产线(诸如图9所示的生产线900,下文将进一步讨论)中的PVD腔室或CVD腔室来制造。在另一实施例中,在提供到太阳能电池生产线内以进行处理前,阻挡层104可以由玻璃供货商预涂覆或预制造在衬底102上。在一个实施例中,阻挡层104具有约
Figure BPA00001578010000301
至约
Figure BPA00001578010000302
的厚度。在一个实施例中,可认为,设置在衬底102上的阻挡层104可提高太阳能电池100、200的整体电流增益(转换效率)达约2-3%。
在步骤606,第一TCO层106形成在阻挡层104上。第一TCO层106可以是ZnO2、ITO、SnO2、掺杂铁的SnO2层、掺杂铝的ZnO2(AZO)层、氧化钛层、掺杂的氧化钛层、或任何其它适当的材料,如上所讨论。在图1和图2绘示的示范性实施例中,第一TCO层106是SnO2或掺杂铁的SnO2层。或者,第一TCO层106是掺杂氧化铝的ZnO2(AZO)层,其具有约1重量百分比的氧化铝掺杂浓度与约99重量百分比的氧化锌材料。
在步骤608,在第一TCO层106设置在衬底102上后,导电接触层108形成在第一TCO层106上。如上所讨论,导电接触层108提供第一TCO层106与随后待形成的太阳能电池结116之间的良好界面。在一个实施例中,导电接触层108可以是钛层、钽层、铝层、氧化钛层(TiO2)、氧化钽层、氧化铝层、与掺杂的含钛层,诸如掺杂杂铌的氧化钛层(TiO2:Nb)或掺杂铝的氧化钛层(TiO2:Al)。在一个实施例中,导电接触层108可具有约
Figure BPA00001578010000311
至约
Figure BPA00001578010000312
的厚度。在一个实施例中,可认为,设置在衬底102上的导电接触层108可提高太阳能电池100、200的整体电流增益(转换效率)达约2-5%。
在步骤610,在导电接触层108形成在衬底102上后,第一p-i-n结116形成在导电接触层108上。如上所讨论,第一p-i-n结116包括重掺杂的p-型非晶硅层109、p-型非晶硅层110、选择性界面阻挡层111、本征型非晶硅层112、与n-型微晶硅层114。由于在沉积处理期间可通过使用相对高沉积温度、相对低压与相对低氢稀释的处理条件将本征型非晶硅层112形成为具有相对较小的能带隙,本征型非晶硅层112可有助于增加包括设置在衬底102上的第一p-i-n结116的太阳能电池的整体转换效率。可认为,沉积处理期间使用的相对高温可有助于制造具有低缺陷密度与高电流产生的低能带隙膜,这可有利地改善太阳能电池结的整体转换效率。用以形成本征型非晶硅层112的相对低沉积压力(诸如小于2.5Torr)也可有助于沉积具有良好光电和微结构性质的层以及有助于在最终的膜中形成有较少的团簇相(cluster phase)氢,引起较小的能带隙。再者,被供应在气体混合物中以为了沉积本征型非晶硅层112的相对低的氢稀释也可有助于沉积具有较小能带隙的本征型非晶硅层112。在一个实施例中,当本征型非晶硅层112形成为具有相对小的能带隙,选择性界面层111可在沉积本征型非晶硅层113前选择性地形成,如上所讨论。可认为,通过形成具有相对较小能带隙的本征型非晶硅层112,可提高太阳能电池100、200的转换效率(或整体电流增益)达约2-3%。在一个实例中,相较于以更传统方式所形成的非晶硅层(其通常具有1.78eV的能带隙),使用约10∶1(SiH4/H2)的氢稀释比例所形成的非晶硅层112的能带隙为约1.71eV,其中能带隙以托克方法(Tauc method)来测量。
又,在一个实施例中,形成在第一p-i-n结116上的n-型微晶硅层114可形成为n-型微晶碳化硅层。如上所讨论,形成在n-型微晶碳化硅层114中的碳原子是高导电层,其可被形成具有期望的能带隙与折射率。因此,可认为,通过在第一p-i-n结116中使用n-型微晶碳化硅层114,可提高太阳能电池100、200的整体电流增益(转换效率)达约2-4%。在太阳能电池形成为多个结(诸如图2所示的串联结)的实施例中,可提高太阳能电池200的整体电流增益(转换效率)达约3-9%,诸如约7%。
在步骤612,选择性波长可选择反射件(WSR)层150可形成在第一p-i-n结116上。随后,在步骤614,第二p-i-n结136可形成在WSR层150上,以将太阳能电池200形成为串联结,如图2所示。应了解,在太阳能电池构造成形成为单一结的实施例中(诸如图1所绘示的太阳能电池100),可省略步骤612与614(如由虚线622归类成一组)。在太阳能电池构造成形成为串联结太阳能电池200的实施例中(如图2所示),在步骤612处的WSR层与第二p-i-n结614可依序地且接续地形成在第一p-i-n结116上。在一个实施例中,WSR层150可选择性地形成在第一p-i-n结116上。在不存在有WSR层150的实施例中,第二p-i-n结136可直接地形成在第一p-i-n结116上。在一个实施例中,WSR层150是n-型微晶碳化硅层或氮氧化硅层,其具有约
Figure BPA00001578010000321
至约
Figure BPA00001578010000322
的厚度,诸如约
Figure BPA00001578010000323
至约
Figure BPA00001578010000324
例如约
在步骤614形成的第二p-i-n结136包括p-型微晶硅层130、本征型微晶硅层132、与n-型非晶硅层134。如上所讨论,n-型层134可以是n-型微晶硅层。如上所讨论,形成在n-型非晶/微晶硅层134中的次要掺杂(诸如碳原子或氮掺杂)可增加n-型非晶/微晶硅层134的导电率,n-型非晶/微晶硅层134具有期望的能带隙与折射率。在一个实施例中,形成在第二p-i-n结136中的n-型层134形成为n-型非晶碳化硅层134。可认为,通过在第二p-i-n结136中使用n-型非晶碳化硅层134,可提高太阳能电池200的整体电流增益(转换效率)达约1-3%。
在步骤616,导电率匹配层118形成在第二p-i-n结136上,如图2所示。在太阳能电池100仅包括单一p-i-n结的实施例中,导电率匹配层118可直接地形成在第一p-i-n结116上,如图1所示。如上所讨论,导电率匹配层118构造成增加返回到第一p-i-n结116和/或第二p-i-n结136的光反射,藉此增加结116、136中的电流产生。在一个实施例中,导电率匹配层118是含硅材料,诸如氧化硅、碳化硅、氮氧化硅、氮化硅、碳氧化硅(SiOC)、氮碳氧化硅(SiOCN)、或其它适当的含硅材料。在另一实施例中,导电率匹配层118也可以依需要是铟锡氧化物(ITO)层。在另一实施例中,导电率匹配层118可以不形成为连续膜层。举例而言,导电率匹配层118可形成为非连续膜层(诸如颗粒的薄层),以产生不均匀反射表面,藉此有助于使光反射和散射回到第一p-i-n结116和/或第二p-i-n结136。在一个实施例中,用来形成导电率匹配层118的颗粒尺寸具有约10nm的直径。在一个实施例中,导电率匹配层118是碳化硅、氮化硅、或氮氧化硅层,其具有约
Figure BPA00001578010000331
至约的膜厚度,诸如约
Figure BPA00001578010000333
在一个实施例中,可通过任何适当的沉积技术来形成导电率匹配层118,诸如PVD、CVD、电镀、无电镀覆、或任何其它适当的方式。在一个实施例中,可在CVD腔室中形成导电率匹配层118,以致于可在与形成n-型层114、134相同的腔室内形成导电率匹配层118。在此构造中,n-型层114、134与导电率匹配层118可原位地形成在单一腔室中而不用破坏真空,藉此减少制造成本与制造循环时间。在另一实施例中,导电率匹配层118可依需要在分开的腔室中形成、或分别地在不同的处理工具或甚至不同的生产线中形成。
在步骤618,在导电率匹配层118形成在衬底102上后,第二TCO层120形成在导电率匹配层118上。类似于第一TCO层104的构造,第二TCO层120可以是ZnO2、ITO、SnO2、掺杂铝的ZnO2(AZO)层、或任何其它适当的材料,如上所讨论。在图1和图2绘示的示范性实施例中,第二TCO层120是掺杂氧化铝的ZnO2(AZO)层,其具有约2重量百分比的氧化铝掺杂浓度与约98重量百分比的氧化锌材料。在一个实施例中,可在沉积处理期间添加掺杂材料(例如铟、铝)到第二TCO层120,以形成具有更期望的光学、导电率、和/或阻挡性质的层。在一个实例中,第二TCO层120包括氧化锡(SnO2)层,该氧化锡(SnO2)层掺杂有小于约10%的铟。在另一实例中,第二TCO层120包括氧化锡(SnO2)层,该氧化锡(SnO2)层掺杂有小于约10%的铁(Fe)。
在步骤620,背金属电极122形成在第二TCO层120上。背金属电极122可有助于光反射回到太阳能电池结116、136,藉此改善太阳能电池结116、136中的电流收集与产生。背金属电极122可包括但不限于选自由Al、Ag、Ti、Cr、Au、Cu、Pt、Ni、V、其合金、或其组合物所构成群组的材料。在特定实施例中,背金属电极122可以是硅或钯(Pd)掺杂浓度被控制在约0.25重量百分比的银(Ag)层。在一个实施例中,背金属电极122可以是复合膜的形式,该复合膜具有第一层的掺杂硅的银(Ag)层以及第二层的镍(Ni)钒(V)合金材料,其中该第二层的镍(Ni)钒(V)合金材料设置在该第一层的掺杂硅或钯(Pd)的银(Ag)层上。
此外,额外的界面层(诸如图5所示的额外的界面层501)可设置在背金属电极122与第二TCO层120之间,以改善界面附着性。在一个实施例中,额外的界面层501可以是薄铬(Cr)层,其具有被控制在小于
Figure BPA00001578010000341
的厚度(诸如约小于
Figure BPA00001578010000342
)。
可认为,通过使用额外的界面层、具有复合结构(该复合结构具有掺杂硅或钯(Pd)的银(Ag)层以及镍(Ni)钒(V)合金材料,其中该镍(Ni)钒(V)合金材料设置在掺杂硅的银(Ag)层上)的背金属电极122,可提高太阳能电池100、200的整体电流增益(转换效率)达约5-8%。
在形成背金属电极620后,即在衬底102上完成了太阳能电池结构。所以,通过使用所有的上文所讨论的材料、结构、阻挡层、界面层、与材料,可提高太阳能电池100、200的整体电流增益(转换效率)达至少约10%,藉此有效地改善所形成的装置的电子与装置性能。
***与设备构造
图7为等离子体增强化学气相沉积(PECVD)腔室700的一个实施例的横截面图,可在该腔室700中沉积薄膜太阳能电池(诸如图1-图2的太阳能电池)的一个或多个膜。适当的等离子体增强化学气相沉积腔室可从美国Santa Clara,CA的Applied Materials,Inc.获得。可了解,可使用其它沉积腔室(包括来自其它制造商的沉积腔室)来实施本发明。
腔室700大致上包括界定处理空间706的多个壁702、底部704、喷头710、与衬底支撑件730。处理空间是经由阀708来访问,以使得衬底可被传送进出腔室700。衬底支撑件730包括用以支撑衬底102的衬底接收表面732与杆734,杆734耦接到升降***736以升高与降低衬底支撑件730。屏蔽环733可选择性地被放置在衬底102的周边上方。多个升降销738是可移动地设置成穿过衬底支撑件730,以将衬底移动到衬底接收表面732和从衬底接收表面732移动衬底。衬底支撑件730也可包括多个加热和/或冷却构件739,以将衬底支撑件730维持在期望的温度。衬底支撑件730也可包括多个接地带731,以在衬底支撑件730的周边处提供RF接地。
喷头710在其周边处通过悬件714耦接到背板712。喷头710也可通过一个或多个中心支撑件716耦接到背板712,以有助于避免下垂和/或控制喷头的笔直性/弯曲性。气体源720耦接到背板712,以提供气体而使气体通过背板712与通过喷头710到衬底接收表面732。真空泵709耦接到腔室700,以将处理空间控制在期望的压力。RF功率源722耦接到背板712和/或到喷头710,以提供RF功率到喷头710,从而在喷头710与衬底支撑件730之间建立电场,藉此由喷头710与衬底支撑件730之间的气体产生等离子体。可使用各种RF频率,诸如约0.3MHz至约200MHz的频率。在一个实施例中,在13.56MHz的频率下提供RF功率源。
远程等离子体源724(诸如感应式耦合远程等离子体源)也可耦接在气体源720与背板712之间。在处理衬底之间,可提供清洁气体到远程等离子体源724,以产生且提供远程等离子体来清洁腔室部件。清洁气体可进一步由被设置到喷头的RF功率源722来激发。适当的清洁气体包括但不限于NF3、F2、与SF6
用于一个或多个层(诸如图1至图5的一个或多个层)的沉积方法可包括下列在图7处理腔室或其它适当腔室中的沉积参数。可将表面积为10000cm2或更大(诸如40000cm2或更大,并且例如55000cm2或更大)的衬底提供到腔室。可了解,在处理后,衬底可被切割以形成多个较小的太阳能电池。
在一个实施例中,可在沉积期间设定这些加热和/或冷却构件739,以提供约400℃或更小的衬底支撑件温度,例如约100℃至约400℃,诸如约150℃至约300℃,诸如约200℃。
沉积期间,设置在衬底接收表面732上的衬底的顶表面与喷头710之间的间隔可以是400mil(密尔)至约1200mil,诸如400mil至约800mil。
控制器748是耦接到处理腔室700。控制器548包括中央处理单元(CPU)760、存储器758、与支持电路762。控制器748是用以控制处理顺序,调节从气体源720到腔室500内的气体流以及控制来自RF功率源722和远程等离子体源724的功率供应。CPU 760可以是任何形式的可用在工业设备的一般目的计算机处理器。软件程序可被储存在存储器758,诸如随机存取存储器、只读存储器、软盘或硬盘驱动器、或其它形式的数字储存器。支持电路762是以传统方式耦接到CPU 760,并且可包括缓存、时钟电路、输入/输出子***、电源等。当CPU 760执行软件程序时,软件程序将CPU转变成可控制处理腔室500的专用计算机(控制器)748,以执行根据本发明的处理(如上所述处理)。软件程序也可由第二控制器(未示出)来储存和/或执行,其中该第二控制器位于远离处理腔室700的远程处。
图8为处理***800的实施例的俯视图,处理***800具有复数个处理腔室831-837(诸如图7的PECVD腔室700)或其它适当的腔室(诸如PVD腔室、镀覆腔室、或能够沉积图1至图2的太阳能电池的膜的涂覆腔室)。处理***800包括传送腔室820,传送腔室820耦接到负载闭锁腔室810与这些处理腔室831-837。负载闭锁腔室810可容许衬底在***800外的外界环境与传送腔室820和处理腔室831-837内的真空环境之间被传送。负载闭锁腔室810包括一个或多个可排空区域,可排空区域保持一个或多个衬底。可排空区域在衬底被输入到***800内的期间被抽低压力(pumpdown),并且在衬底被从***800输出的期间被通气(vent)。传送腔室820至少设置有真空机械手臂822,真空机械手臂822适于在负载闭锁腔室810与处理腔室831-837之间传送衬底。尽管图8显示有七个处理腔室,该构造并不是要限制本发明的范围,这是因为此***可具有任何适当数量的处理腔室。
在本发明的特定实施例中,***800构造成沉积多结太阳能电池的第一或第二p-i-n结(例如组件符号116、136)。在一个实施例中,处理腔室831-837的处理腔室构造成沉积第一和/或第二p-i-n结的p-型层,而剩余的处理腔室831-837是各自构造成沉积本征型层和n-型层。p-i-n结的本征型层和n-型层可在相同的腔室中沉积,而不需要在沉积步骤之间进行任何钝化处理。因此,在一个构造中,衬底经由负载闭锁腔室810进入***,衬底接着被真空机械手臂被传送到专用来沉积p-型层的处理腔室中。其次,在形成p-型层后,衬底被真空机械手臂传送到剩余的处理腔室当中被构造为沉积本征型层和n-型层的一个处理腔室。在形成本征型层和n-型层后,衬底被真空机械手臂822传送回到负载闭锁腔室810。在特定实施例中,用处理腔室来处理衬底以形成p-型层的时间比在单一腔室中形成本征型层和n-型层的时间快约4或更多倍(诸如快6或更多倍)。所以,在沉积第一p-i-n结的***的特定实施例中,p-腔室对i/n-腔室的比例为1∶4或更大,诸如1∶6或更大。***的产率(包括提供处理腔室的等离子体清洁的时间)可以是约10衬底/小时或更大,例如20衬底/小时或更大。
在本发明的特定实施例中,***800构造成沉积太阳能电池的p-i-n结(例如组件符号116、136)。在一个实施例中,处理腔室831-837的处理腔室构造成沉积p-型层,而剩余的处理腔室831-837各自构造成沉积本征型层和n-型层和导电率匹配层(例如组件符号118)。界面阻挡层(例如组件符号111)可选择性地连同p-型层一起来形成、或连同本征型层和n-型层一起来形成。此外,重掺杂的p-型层(p++层,例如组件符号109)可连同p-型层一起在腔室中形成。本征型层和n-型层可在相同的腔室中沉积。在特定实施例中,用处理腔室来形成p-型层的时间比在单一腔室中形成本征型层和n-型层的时间快约4或更多倍。所以,在沉积p-i-n结的***的特定实施例中,p-腔室对i/n-腔室的比例为1∶4或更大,诸如1∶6或更大。***的产率(包括提供处理腔室的等离子体清洁的时间)可以是约3衬底/小时或更大,诸如5衬底/小时或更大。
由于本征型微晶硅层与本征型非晶硅层之间厚度差异,在特定实施例中被构造为沉积含有本征型非晶硅层的p-i-n结的***800的产率比用以沉积含有本征型微晶硅层的第二p-i-n结的***800的产率大两倍。所以,适于沉积含有本征型非晶硅层的第一p-i-n结的单一***800可以和适于沉积含有本征型微晶硅层的第二p-i-n结的两个或多个***匹配。一旦第一p-i-n结已经在***中形成,衬底可被暴露于外界环境(即破坏真空)且被传送到形成第二p-i-n结的第二***。沉积第一p-i-n结的第一***与沉积第二p-i-n结的第二***之间可能需要湿式或干式的衬底清洁。
图9是绘示生产线900的一部分的构造,生产线900具有通过自动化装置902可传送地连接的复数个沉积***904、905、906或群集工具。在构造中(如图9所示),生产线900包括复数个沉积***904、905、906,这些沉积***可用以在衬底102上形成一个或多个层(诸如TCO层、导电接触层、ARC层、阻挡层、导电率匹配层等)、形成p-i-n结、或形成完整的太阳能电池装置。***904、905、906可类似于图8的***800,但大致上构造成在衬底102上沉积不同的层或结。一般而言,沉积***904、905、906各自具有负载闭锁腔室904F、905F、906F,负载闭锁腔室904F、905F、906F类似于负载闭锁腔室810且各自利用自动化装置902来可传送地连通。
在处理顺序期间,衬底大致上从***自动化装置902被传送到***904、905、906当中的一者。在一个实施例中,***906具有复数个腔室906A-906H(腔室906A-906H中的每一者构造成在形成第一p-i-n结时沉积或处理一个或多个层),***905具有复数个腔室905A-905H(***905构造成沉积在第一p-i-n结中形成的多个层),及***904具有复数个腔室904A-904H(***904构造成在形成第二p-i-n结时沉积或处理一个或多个层)。应了解,可改变***的数量以及在每个***中构造成沉积每个层的腔室的数量,以符合不同的处理需求和构造。在一个实施例中,期望将WSR层沉积腔室和p-型、本征型或n-型层沉积腔室分离或隔离,以避免在所形成的太阳能电池装置中的一个或多个层或随后所形成的太阳能电池装置的交互污染。在WSR层包括含碳或氧层的构造中,避免在所形成的结中的所形成的本征层的交互污染、和/或避免由于含氧或碳的沉积材料层(其形成在处理腔室的屏蔽件或其它腔室部件上)中的应力造成的颗粒产生问题一般来说是重要的。
自动化装置902可大致上包括适于移动且定位衬底的机械手臂装置或输送器。在一个实施例中,复数个自动化装置902与控制器748(控制器748连接到生产线900中的所有的处理腔室与自动化装置902)是组合地用来连续传送复数个衬底,以在复数个衬底102上执行处理顺序600中的处理步骤。在一个实例中,自动化装置902是一系列的传统衬底输送器(例如滚轮类型输送器)与/或机械手臂装置(例如六轴机械手臂、SCARA机械手臂),其构造成依需要在生产线900内移动且定位衬底。在一个实施例中,一个或多个自动化装置902也含有一个或多个衬底升降部件或开合桥输送器(drawbridge conveyor),衬底升降部件和开合桥输送器用以使得在期望***的上游处的衬底被输送越过会阻挡该衬底移动到生产线900内另一期望位置的衬底。依此方式,衬底到各种***的移动将不会被其它等待输送到另一***的衬底所阻碍。
生产线900的实施例中,图案化腔室950是连通于一个或多个自动化装置902且构造成在所形成WSR层、导电率匹配层、阻挡层或TCO层中的一个或多个层上执行图案化处理。在一个实例中,图案化腔室950有利地被定位成通过传统方式在一个或多个层上执行图案化处理。也可了解,在太阳能电池装置形成处理期间,图案化腔室也可用以蚀刻该一个或多个层中的一个或多个区域。尽管图案化腔室950的构造是大致上讨论蚀刻类型的图案化处理,此构造不需要被限制成在此描述的本发明的范围。在一个实施例中,图案化腔室950用以移除一个或多个所形成层中的一个或多个区域和/或在衬底表面上的一个或多个所形成层上沉积一个或多个材料层(例如含掺杂材料、金属膏)。
尽管上述说明涉及本发明的实施例,可在不悖离本发明的基本范围下可想出其它与进一步实施例,并且本发明的范围是由权利要求书来决定。举例而言,已经将图7的处理腔室显示成位于水平位置。可了解,在本发明的其它实施例中,处理腔室可位于任何非水平的位置,诸如竖直位置。已经参照图8和图9的多处理腔室群集工具来描述本发明的实施例,但也可使用直列式***与混合的直列/群集***。已经参照被构造为形成第一p-i-n结的第一***与被构造为形成第二p-i-n结的第二***来描述本发明的实施例。最后,在此描述的实施例一般地可应用到透明衬底(诸如玻璃)的p-i-n构造,但可设想出其它实施例(其中单或多堆叠的n-i-p结是以反向沉积顺序被建构在不透明衬底(诸如不锈钢或聚合物)上)。
相较于现有技术的处理构造(其需要手动操控的步骤和/或手动执行的处理),包括所有处理腔室(这些处理室用以执行处理顺序600中的处理步骤且由一个或多个衬底传送自动化装置902来内连接)的生产线900的实施例具有显著优点,这是因为与现有技术构造相比增加生产线900的产率、降低在传送或执行一个或多个处理步骤期间损坏常易碎玻璃衬底的机率、并增加衬底至衬底处理重复性。当一个或多个涂层设置在衬底102的两个主表面(诸如表面152与154)上时,和衬底处理有关的缺陷(这些曲线在太阳能电池形成处理中的一个或多个步骤的期间产生)会明显的增加。所以,由于处理步骤之间的传送长度而需要使衬底能特别地被支撑或被封装的手动处理步骤和/或传送步骤的数量越少,则形成可靠的太阳能电池装置的机率越大。
因此,提供一种用以在太阳能电池装置中形成高效率电池结的设备与方法。此方法为有利地制造高转换效率太阳能电池,相较于目前传统太阳能电池装置达到的约7%-8%效率,即使在效率已经因LID而劣化后,转换效率也被提高到至少约10%。在一个实施例中,可通过选择且形成太阳能电池装置,该太阳能电池装置含有ARC层、阻挡层、导电接触层、导电率匹配界面层、低能带隙本征非晶硅层、第一p-i-n结中的n-型微晶碳化硅层、第二p-i-n结中的n-型非晶硅层、导电率匹配层、与背金属电极中的复合膜堆叠,可达到大于10%的效率。通过利用在此描述的新膜结构与新材料,可依需要有效地改善且增加太阳能电池装置的转换效率。
尽管上述说明涉及本发明的实施例,可在不悖离本发明的基本范围下设想出其它与进一步实施例,并且本发明的范围是由权利要求书来决定。

Claims (20)

1.一种光伏装置,其包括:
衬底,其具有第一表面与第二表面;
阻挡层,其设置在所述衬底的所述第一表面上;
第一透明导电氧化物层,其设置在所述阻挡层上;
导电接触层,其设置在所述第一透明导电氧化物层上,其中所述导电接触层包括氧化锡层、氧化钛层、钽层、氧化钽层、掺杂铌的氧化锡层、或掺杂铌的氧化钛层;
第一p-i-n结,其形成在所述导电接触层上;和
第二透明导电氧化物层,其形成在所述第一p-i-n结上方。
2.根据权利要求1所述的光伏装置,还包括:
抗反射涂覆层,其设置在所述衬底的所述第二表面上,其中所述抗反射涂覆层包括含硅材料,所述含硅材料具有碳掺杂、氟掺杂、或其组合。
3.根据权利要求1所述的光伏装置,其中所述第一p-i-n结还包括:
p-型非晶硅层;
重掺杂的p-型非晶硅层,其在形成所述p-型非晶硅层之前形成在所述导电接触层上;
本征型非晶硅层;和
n-型微晶碳化硅层。
4.根据权利要求3所述的光伏装置,其中所述导电接触层中的材料的折射率介于所述第一透明导电氧化物层中的材料的折射率与所述p-型非晶硅层中的材料的折射率之间。
5.根据权利要求1所述的光伏装置,还包括:
第二p-i-n结,其形成在所述第一p-i-n结与所述第二透明导电氧化物层之间,其中所述第二p-i-n结包括:
p-型微晶硅层;
本征型微晶硅层;和
n-型非晶硅层;以及
导电率匹配层,其设置在所述第二p-i-n结与所述第二透明导电氧化物层之间,其中所述导电率匹配层中的材料的折射率小于所述第二透明导电氧化物层中的材料的折射率。
6.根据权利要求1所述的光伏装置,还包括:
金属背电极,其形成在所述第二透明导电氧化物层上,其中所述金属背电极是复合膜堆叠,所述复合膜堆叠具有设置在所述第二透明导电氧化物层上的掺杂硅或钯的银层、以及形成在掺杂硅的银层上的镍(Ni)钒(V)合金层。
7.根据权利要求1所述的光伏装置,其中所述衬底包括玻璃衬底,所述玻璃衬底中设置有浓度小于约0.03重量百分比的铁元素,并且所形成的所述第一透明导电氧化物层与所形成的所述导电接触层的组合的方块电阻小于约10Ω/□。
8.一种光伏装置,其包括:
衬底,其具有第一表面与第二表面,其中所述衬底包括具有小于约0.03重量百分比的铁浓度的玻璃材料;
阻挡层,其设置在所述衬底的所述第一表面上;
第一透明导电氧化物层,其设置在所述阻挡层上;
第一p-i-n结,其包括:
p-型非晶硅层,其形成在所述第一透明导电氧化物层上方;
本征型非晶硅层,其形成在所述p-型非晶硅层上方;和
n-型微晶碳化硅层,其形成在所述本征型非晶硅层上方;
导电接触层,其设置在所述第一透明导电氧化物层与所述p-型非晶硅层之间,其中所述导电接触层中的材料的折射率介于所述第一透明导电氧化物层中的材料的折射率与所述p-型非晶硅层中的材料的折射率之间,并且所形成的所述第一透明导电氧化物层与所形成的所述导电接触层的组合的方块电阻小于约10Ω/□;
第二p-i-n结,其包括:
p-型微晶硅层,其设置在所述n-型微晶碳化硅层上方;
本征型微晶硅层,其形成在所述p-型微晶硅层上方;和
n-型非晶硅层,其形成在所述本征型微晶硅层上方;
导电率匹配层,其设置在所述n-型非晶硅层上;和
第二透明导电氧化物层,其形成在所述导电率匹配层上方。
9.根据权利要求8所述的光伏装置,其中所述p-型非晶硅层还包括:
第一p-型非晶硅层,其形成在所述导电接触层上;和
第二p-型非晶硅层,其中所述第一p-型非晶硅层比所述第二p-型非晶硅层被更重地掺杂p-型掺杂物。
10.根据权利要求8所述的光伏装置,其中所述第一透明导电氧化物层包括掺杂的氧化锡,其中所述掺杂的氧化锡包括小于约10重量百分比的铟或铁。
11.根据权利要求8所述的光伏装置,还包括金属背电极,其形成在所述第二透明导电氧化物层上,其中所述金属背电极包括银、以及约0.25重量百分比至约7重量百分比的钯。
12.根据权利要求8所述的光伏装置,其中所述阻挡层是氧化锡层、掺杂铁的氧化锡层、氧化钛层、或掺杂铁的氧化钛层。
13.一种光伏装置,其包括:
衬底,其具有第一表面与第二表面;
阻挡层,其设置在所述衬底的所述第二表面上;
第一透明导电氧化物层,其设置在所述阻挡层上;
导电接触层,其设置在所述第一透明导电氧化物层上;
第一p-i-n结,其形成在所述导电接触层上,其中所述第一p-i-n结还包括:
p-型非晶硅层;
本征型非晶硅层;和
n-型微晶碳化硅层;
第二透明导电氧化物层,其形成在所述第一p-i-n结上方;和
金属背电极,其形成在所述第二透明导电氧化物层上,其中所述金属背电极包括银与钯。
14.根据权利要求13所述的光伏装置,其中所述金属背电极包括约0.25重量百分比至7重量百分比的钯。
15.一种形成太阳能电池装置的方法,其包括以下步骤:
在衬底的第一表面上方形成第一透明导电氧化物层,其中所述第一透明导电氧化物层包括锡与氧,并且所述衬底包括具有小于约0.03重量百分比的铁浓度的玻璃材料;
形成设置在所述第一透明导电氧化物层上的导电接触层,其中所述第一透明导电氧化物层与所述导电接触层的电阻率小于约10Ω/□;以及
在所述导电接触层上形成第一p-i-n结,其中形成所述第一p-i-n结的步骤包括以下步骤:
在所述导电接触层上形成p-掺杂的非晶硅层;
在p-型非晶硅层上方形成本征型非晶硅层;以及
在所述导电接触层上形成n-型微晶硅层。
16.根据权利要求15所述的方法,还包括以下步骤:
在所述第一p-i-n结上方形成导电率匹配层;
在所述导电率匹配层上形成第二透明导电氧化物层;以及
在所述第一p-i-n结与所述导电率匹配层之间形成第二p-i-n结,其中形成所述第二p-i-n结的步骤包括以下步骤:
在所述n-型微晶硅层上方形成p-掺杂的微晶硅层;
在所述p-型微晶硅层上方形成本征型微晶硅层;以及
在所述本征型微晶硅层上形成n-型层。
17.根据权利要求15所述的方法,其中形成所述第一p-i-n结的步骤包括以下步骤:
在p-型非晶硅层与n-型微晶碳化硅层之间形成本征型非晶硅层,其中所述本征型非晶硅层是通过以下步骤来形成:
在处理腔室中提供气体混合物到所述衬底的表面,其中所述气体
混合物包括小于6的氢气对硅烷气体的比例;
将衬底温度控制在约220摄氏度与约250摄氏度之间;以及
将所述处理腔室中的处理压力控制在约1Torr与约2Torr之间。
18.一种形成太阳能电池装置的方法,其包括以下步骤:
在衬底的第一表面上方形成第一透明导电氧化物层,其中所述第一透明导电氧化物层包括锡与氧;
形成设置在所述第一透明导电氧化物层上的导电接触层;
在所述导电接触层上方形成第一p-i-n结,其中形成所述第一p-i-n结的步骤包括以下步骤:
在所述导电接触层上形成p-掺杂的非晶硅层;
在所述p-型非晶硅层上方形成本征型非晶硅层,其中形成所述本征型非晶硅层的步骤包括以下步骤:
在处理腔室中提供气体混合物到所述衬底的表面,其中所述气体混合物包括小于6的氢气对硅烷气体的比例;
将衬底温度控制在约220摄氏度与约250摄氏度之间;以及
将所述处理腔室中的处理压力控制在约1Torr与约2Torr之间;
在所述本征型非晶硅层上形成n-型微晶硅层;
在所述第一p-i-n结上方形成第二p-i-n结,其中形成所述第二p-i-n结的步骤包括以下步骤:
在所述n-型微晶硅层上方形成p-掺杂的微晶硅层;
在p-型微晶硅层上方形成本征型微晶硅层;以及
在所述本征型微晶硅层上形成n-型层;
在所述第一p-i-n结上方形成导电率匹配层;以及
在所述导电率匹配层上形成第二透明导电氧化物层。
19.一种形成太阳能电池装置的方法,其包括以下步骤:
形成设置在衬底的第一表面上方的第一透明导电氧化物层;
形成设置在所述第一透明导电氧化物层上的导电接触层,其中所述导电接触层是在物理气相沉积室中形成的掺杂铌的氧化钛层;
在所述导电接触层上形成第一p-i-n结,其中形成所述第一p-i-n结的步骤包括以下步骤:
在所述导电接触层上形成p-掺杂的非晶硅层;
在p-型非晶硅层上方形成本征型非晶硅层;
在所述本征型非晶硅层上形成n-型微晶硅层;以及
在所述n-型微晶硅层上方形成第二透明导电氧化物层。
20.根据权利要求19所述的方法,还包括以下步骤:
在所述衬底的所述第一表面与所述第一透明导电氧化物层之间形成阻挡层;
在所述第一p-i-n结上方形成导电率匹配层,其中所述导电率匹配层包括折射率小于所述第二透明导电氧化物层的折射率的材料,并且所述第二透明导电氧化层设置在所述导电率匹配层上;以及
在所述第一p-i-n结和所述导电率匹配层之间形成第二p-i-n结,其中形成所述第二p-i-n结的步骤包括以下步骤:
在所述n-型微晶硅层上方形成p掺杂的微晶硅层;
在p-型微晶硅层上方形成本征型微晶硅层;以及
在所述本征型微晶硅层上形成n-型层。
CN2010800618324A 2010-01-18 2010-12-28 制造具有高转换效率的薄膜太阳能电池 Pending CN102714228A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US29599110P 2010-01-18 2010-01-18
US61/295,991 2010-01-18
PCT/US2010/062175 WO2011087878A2 (en) 2010-01-18 2010-12-28 Manufacture of thin film solar cells with high conversion efficiency

Publications (1)

Publication Number Publication Date
CN102714228A true CN102714228A (zh) 2012-10-03

Family

ID=44276649

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800618324A Pending CN102714228A (zh) 2010-01-18 2010-12-28 制造具有高转换效率的薄膜太阳能电池

Country Status (4)

Country Link
US (2) US8252624B2 (zh)
CN (1) CN102714228A (zh)
TW (1) TW201208101A (zh)
WO (1) WO2011087878A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103066153A (zh) * 2012-12-28 2013-04-24 福建铂阳精工设备有限公司 硅基薄膜叠层太阳能电池及其制造方法
CN103618010A (zh) * 2013-10-21 2014-03-05 福建铂阳精工设备有限公司 硅基薄膜太阳能电池背电极及制造方法、硅基薄膜太阳能电池
CN103646978A (zh) * 2013-12-09 2014-03-19 英利集团有限公司 太阳能电池组件
CN105304740A (zh) * 2015-10-12 2016-02-03 友达光电股份有限公司 光伏转换模块
CN105449013A (zh) * 2014-09-19 2016-03-30 株式会社东芝 光电转换元件以及太阳能电池
CN106298988A (zh) * 2016-10-10 2017-01-04 江苏神科新能源有限公司 一种异质结太阳能电池及其制备方法
CN106471604A (zh) * 2014-07-11 2017-03-01 应用材料公司 具有无定形层的远紫外反射元件及其制造方法
TWI575765B (zh) * 2014-05-19 2017-03-21 The anti - reflection spectrum of the multi - faceted solar cell increases the structure
CN107615426A (zh) * 2015-05-14 2018-01-19 株式会社昭和 在对电极上设置有集电极的色素敏化型太阳能电池

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8251576B1 (en) * 2009-05-30 2012-08-28 Mia Sole Cold lift-off test for strength of film stack subjected to thermal loading
US20120012167A1 (en) 2010-07-13 2012-01-19 International Business Machines Corporation Solar cell employing an enhanced free hole density p-doped material and methods for forming the same
US20120202315A1 (en) * 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
TWI426613B (zh) * 2011-04-11 2014-02-11 Nat Applied Res Laboratories 太陽能發電模組及其製造方法
US20130019929A1 (en) * 2011-07-19 2013-01-24 International Business Machines Reduction of light induced degradation by minimizing band offset
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130019934A1 (en) * 2011-07-22 2013-01-24 Primestar Solar, Inc. Oxygen getter layer for photovoltaic devices and methods of their manufacture
CN103022241A (zh) * 2011-09-28 2013-04-03 吉富新能源科技(上海)有限公司 高效能透明导电玻璃模块制程之技术
CN103022240A (zh) * 2011-09-28 2013-04-03 吉富新能源科技(上海)有限公司 简易制作抗反射层之技术
CN103137769A (zh) * 2011-12-02 2013-06-05 杜邦太阳能有限公司 串叠式太阳能电池及其制作方法
US20130164882A1 (en) * 2011-12-23 2013-06-27 International Business Machines Corporation Transparent conducting layer for solar cell applications
CN104025307A (zh) * 2012-01-04 2014-09-03 Tel太阳能公司 薄膜太阳能电池中的中间反射结构
US8980728B2 (en) * 2012-01-06 2015-03-17 Phostek, Inc. Method of manufacturing a semiconductor apparatus
KR101766339B1 (ko) 2012-01-11 2017-08-08 엘지전자 주식회사 태양 전지 및 그 제조 방법
WO2013106439A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. High work-function buffer layers for silicon-based photovoltaic devices
US9190549B2 (en) 2012-02-28 2015-11-17 International Business Machines Corporation Solar cell made using a barrier layer between p-type and intrinsic layers
CN102655178B (zh) * 2012-04-28 2015-08-26 法国圣戈班玻璃公司 盖板及其制造方法、太阳能玻璃、光伏器件
US10319872B2 (en) 2012-05-10 2019-06-11 International Business Machines Corporation Cost-efficient high power PECVD deposition for solar cells
WO2013167282A1 (en) 2012-05-10 2013-11-14 Tel Solar Ag Silicon-based solar cells with improved resistance to light-induced degradation
TWI475704B (zh) * 2012-06-14 2015-03-01 Nexpower Technology Corp 薄膜太陽能電池及其製造方法
CN103579426B (zh) * 2012-07-19 2016-04-27 华夏光股份有限公司 半导体装置
CN103594572B (zh) * 2012-08-15 2017-03-01 华夏光股份有限公司 交流发光装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10128396B2 (en) 2012-10-26 2018-11-13 Stmicroelectronics S.R.L. Photovoltaic cell
JP6055270B2 (ja) * 2012-10-26 2016-12-27 キヤノン株式会社 固体撮像装置、その製造方法、およびカメラ
US9379259B2 (en) * 2012-11-05 2016-06-28 International Business Machines Corporation Double layered transparent conductive oxide for reduced schottky barrier in photovoltaic devices
KR20140082012A (ko) * 2012-12-21 2014-07-02 엘지전자 주식회사 태양 전지 및 이의 제조 방법
WO2014110520A1 (en) 2013-01-11 2014-07-17 Silevo, Inc. Module fabrication of solar cells with low resistivity electrodes
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR20140102782A (ko) * 2013-02-14 2014-08-25 삼성전자주식회사 웨이퍼 이송용 블레이드 및 이를 포함하는 웨이퍼 이송 장치
US9082925B2 (en) * 2013-03-13 2015-07-14 Sunpower Corporation Methods for wet chemistry polishing for improved low viscosity printing in solar cell fabrication
KR20150019132A (ko) * 2013-08-12 2015-02-25 삼성전자주식회사 투광형 양면 태양 전지
DE102014111781B4 (de) * 2013-08-19 2022-08-11 Korea Atomic Energy Research Institute Verfahren zur elektrochemischen Herstellung einer Silizium-Schicht
KR101622090B1 (ko) * 2013-11-08 2016-05-18 엘지전자 주식회사 태양 전지
WO2015073586A1 (en) * 2013-11-12 2015-05-21 Nitto Denko Corporation Solar energy collection systems utilizing holographic optical elements useful for building integrated photovoltaics
WO2015112308A1 (en) 2014-01-23 2015-07-30 Sunedison Semiconductor Limited High resistivity soi wafers and a method of manufacturing thereof
WO2015115492A1 (ja) * 2014-01-30 2015-08-06 旭硝子株式会社 太陽電池用防眩機能付きガラス板
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US9899499B2 (en) 2014-09-04 2018-02-20 Sunedison Semiconductor Limited (Uen201334164H) High resistivity silicon-on-insulator wafer manufacturing method for reducing substrate loss
US9853133B2 (en) * 2014-09-04 2017-12-26 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3221885B1 (en) 2014-11-18 2019-10-23 GlobalWafers Co., Ltd. High resistivity semiconductor-on-insulator wafer and a method of manufacturing
EP3221884B1 (en) 2014-11-18 2022-06-01 GlobalWafers Co., Ltd. High resistivity semiconductor-on-insulator wafers with charge trapping layers and method of manufacturing thereof
US10224233B2 (en) 2014-11-18 2019-03-05 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising a charge trapping layer formed by He-N2 co-implantation
JP6517360B2 (ja) 2015-03-03 2019-05-22 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited 膜応力を制御可能なシリコン基板の上に電荷トラップ用多結晶シリコン膜を成長させる方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6637515B2 (ja) 2015-03-17 2020-01-29 グローバルウェーハズ カンパニー リミテッドGlobalWafers Co.,Ltd. 半導体オン・インシュレータ構造の製造において使用するための熱的に安定した電荷トラップ層
US9881832B2 (en) 2015-03-17 2018-01-30 Sunedison Semiconductor Limited (Uen201334164H) Handle substrate for use in manufacture of semiconductor-on-insulator structure and method of manufacturing thereof
JP6592534B2 (ja) 2015-06-01 2019-10-16 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited 多層構造体及びその製造方法
JP6533309B2 (ja) 2015-06-01 2019-06-19 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited 多層構造体の製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6584880B2 (ja) * 2015-09-11 2019-10-02 株式会社東芝 半導体装置
EP3151289A1 (en) * 2015-10-01 2017-04-05 LG Electronics Inc. Solar cell
TWI596785B (zh) * 2015-10-07 2017-08-21 財團法人工業技術研究院 太陽能電池結構與其形成方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
SG10201913407TA (en) 2015-11-20 2020-03-30 Globalwafers Co Ltd Manufacturing method of smoothing a semiconductor surface
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017142849A1 (en) 2016-02-19 2017-08-24 Sunedison Semiconductor Limited Semiconductor on insulator structure comprising a buried high resistivity layer
US9831115B2 (en) 2016-02-19 2017-11-28 Sunedison Semiconductor Limited (Uen201334164H) Process flow for manufacturing semiconductor on insulator structures in parallel
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468294B2 (en) 2016-02-19 2019-11-05 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising a charge trapping layer formed on a substrate with a rough surface
WO2017155808A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Semiconductor on insulator structure comprising a plasma nitride layer and method of manufacture thereof
WO2017155804A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Method of manufacturing a semiconductor on insulator structure by a pressurized bond treatment
WO2017155806A1 (en) 2016-03-07 2017-09-14 Sunedison Semiconductor Limited Semiconductor on insulator structure comprising a plasma oxide layer and method of manufacture thereof
EP3758050A1 (en) 2016-03-07 2020-12-30 GlobalWafers Co., Ltd. Semiconductor on insulator structure comprising a low temperature flowable oxide layer and method of manufacture thereof
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
EP3469120B1 (en) 2016-06-08 2022-02-02 GlobalWafers Co., Ltd. High resistivity single crystal silicon ingot and wafer having improved mechanical strength
US10269617B2 (en) 2016-06-22 2019-04-23 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising an isolation region
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN110178211B (zh) 2016-10-26 2022-12-13 环球晶圆股份有限公司 具有增强电荷俘获效率的高电阻率绝缘体上硅衬底
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102587815B1 (ko) 2016-12-05 2023-10-10 글로벌웨이퍼스 씨오., 엘티디. 높은 저항률 실리콘-온-절연체 구조 및 그의 제조 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125565A1 (en) 2016-12-28 2018-07-05 Sunedison Semiconductor Limited Method of treating silicon wafers to have intrinsic gettering and gate oxide integrity yield
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
PL233211B1 (pl) * 2017-01-25 2019-09-30 Saule Spolka Z Ograniczona Odpowiedzialnoscia Folia optoelektroniczna oraz sposób wytwarzania folii optoelektronicznej
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018189752A1 (en) * 2017-04-15 2018-10-18 Indian Institute Of Science Solar cell
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
EP3989272A1 (en) 2017-07-14 2022-04-27 Sunedison Semiconductor Limited Method of manufacture of a semiconductor on insulator structure
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10672919B2 (en) * 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
WO2019188716A1 (ja) * 2018-03-29 2019-10-03 株式会社カネカ 太陽電池およびその製造方法
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
SG11202009989YA (en) 2018-04-27 2020-11-27 Globalwafers Co Ltd Light assisted platelet formation facilitating layer transfer from a semiconductor donor substrate
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10818540B2 (en) 2018-06-08 2020-10-27 Globalwafers Co., Ltd. Method for transfer of a thin layer of silicon
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200181770A1 (en) * 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
US10858282B1 (en) * 2019-06-07 2020-12-08 Qualcomm Incorporated Doped, low-temperature co-fired glass-ceramic (LTCC) insulating substrates, and related wiring boards and methods of manufacture
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021030236A2 (en) 2019-08-09 2021-02-18 Leading Edge Equipment Technologies, Inc. Producing a ribbon or wafer with regions of low oxygen concentration
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN111477704A (zh) * 2019-10-22 2020-07-31 国家电投集团西安太阳能电力有限公司 一种用于缓解光伏组件pid衰减的方法
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
US11696504B2 (en) 2020-05-18 2023-07-04 Stmicroelectronics S.R.L. Method of fabrication of an integrated thermoelectric converter, and integrated thermoelectric converter thus obtained
EP3913681A3 (en) * 2020-05-18 2022-03-16 STMicroelectronics S.r.l. Method of fabrication of an integrated thermoelectric converter, and integrated thermoelectric converter thus obtained
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115125510A (zh) * 2022-06-22 2022-09-30 中威新能源(成都)有限公司 化学气相沉积方法、载具、电池片及异质结电池

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080105302A1 (en) * 2006-11-02 2008-05-08 Guardian Industries Corp. Front electrode for use in photovoltaic device and method of making same
CN101226967A (zh) * 2007-01-18 2008-07-23 应用材料股份有限公司 多结太阳能电池及其形成方法和设备
US20090020154A1 (en) * 2007-01-18 2009-01-22 Shuran Sheng Multi-junction solar cells and methods and apparatuses for forming the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4060426A (en) * 1974-07-02 1977-11-29 Polaroid Corporation Tin indium oxide and polyvinylcarbazole layered polarized photovoltaic cell
US4769086A (en) * 1987-01-13 1988-09-06 Atlantic Richfield Company Thin film solar cell with nickel back
JP2771414B2 (ja) * 1992-12-28 1998-07-02 キヤノン株式会社 太陽電池の製造方法
JP3419108B2 (ja) * 1994-10-06 2003-06-23 鐘淵化学工業株式会社 薄膜太陽電池の製造方法
US5677236A (en) * 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
JPH09129905A (ja) * 1995-10-27 1997-05-16 Mitsubishi Heavy Ind Ltd 非晶質半導体太陽電池
JP3308785B2 (ja) * 1995-11-10 2002-07-29 キヤノン株式会社 光起電力素子
JP3294097B2 (ja) * 1996-02-14 2002-06-17 三菱重工業株式会社 非晶質半導体太陽電池
JPH09283777A (ja) * 1996-04-17 1997-10-31 Citizen Watch Co Ltd 太陽電池の製造方法
US6180870B1 (en) * 1996-08-28 2001-01-30 Canon Kabushiki Kaisha Photovoltaic device
JPH10144942A (ja) * 1996-11-11 1998-05-29 Mitsubishi Heavy Ind Ltd 非晶質半導体太陽電池
JPH11150282A (ja) * 1997-11-17 1999-06-02 Canon Inc 光起電力素子及びその製造方法
US20070169808A1 (en) * 2006-01-26 2007-07-26 Kherani Nazir P Solar cell
US20080178932A1 (en) * 2006-11-02 2008-07-31 Guardian Industries Corp. Front electrode including transparent conductive coating on patterned glass substrate for use in photovoltaic device and method of making same
US8637762B2 (en) * 2006-11-17 2014-01-28 Guardian Industries Corp. High transmission glass ground at edge portion(s) thereof for use in electronic device such as photovoltaic applications and corresponding method
TW200840064A (en) * 2007-03-30 2008-10-01 Delta Electronics Inc Solar cell
US7670638B2 (en) * 2007-05-17 2010-03-02 Sunpower Corporation Protection layer for fabricating a solar cell
US8309844B2 (en) * 2007-08-29 2012-11-13 Ferro Corporation Thick film pastes for fire through applications in solar cells
US20090104733A1 (en) * 2007-10-22 2009-04-23 Yong Kee Chae Microcrystalline silicon deposition for thin film solar applications
US8168265B2 (en) * 2008-06-06 2012-05-01 Applied Materials, Inc. Method for manufacturing electrochromic devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080105302A1 (en) * 2006-11-02 2008-05-08 Guardian Industries Corp. Front electrode for use in photovoltaic device and method of making same
CN101226967A (zh) * 2007-01-18 2008-07-23 应用材料股份有限公司 多结太阳能电池及其形成方法和设备
US20090020154A1 (en) * 2007-01-18 2009-01-22 Shuran Sheng Multi-junction solar cells and methods and apparatuses for forming the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103066153A (zh) * 2012-12-28 2013-04-24 福建铂阳精工设备有限公司 硅基薄膜叠层太阳能电池及其制造方法
CN103618010A (zh) * 2013-10-21 2014-03-05 福建铂阳精工设备有限公司 硅基薄膜太阳能电池背电极及制造方法、硅基薄膜太阳能电池
CN103646978A (zh) * 2013-12-09 2014-03-19 英利集团有限公司 太阳能电池组件
TWI575765B (zh) * 2014-05-19 2017-03-21 The anti - reflection spectrum of the multi - faceted solar cell increases the structure
CN106471604A (zh) * 2014-07-11 2017-03-01 应用材料公司 具有无定形层的远紫外反射元件及其制造方法
CN106471604B (zh) * 2014-07-11 2019-11-26 应用材料公司 具有无定形层的远紫外反射元件及其制造方法
CN105449013A (zh) * 2014-09-19 2016-03-30 株式会社东芝 光电转换元件以及太阳能电池
US9985146B2 (en) 2014-09-19 2018-05-29 Kabushiki Kaisha Toshiba Photoelectric conversion device, and solar cell
CN107615426A (zh) * 2015-05-14 2018-01-19 株式会社昭和 在对电极上设置有集电极的色素敏化型太阳能电池
CN105304740A (zh) * 2015-10-12 2016-02-03 友达光电股份有限公司 光伏转换模块
CN106298988A (zh) * 2016-10-10 2017-01-04 江苏神科新能源有限公司 一种异质结太阳能电池及其制备方法

Also Published As

Publication number Publication date
US20110174362A1 (en) 2011-07-21
US20110177648A1 (en) 2011-07-21
WO2011087878A2 (en) 2011-07-21
WO2011087878A3 (en) 2011-11-24
US8252624B2 (en) 2012-08-28
TW201208101A (en) 2012-02-16

Similar Documents

Publication Publication Date Title
CN102714228A (zh) 制造具有高转换效率的薄膜太阳能电池
CN101836299B (zh) 用于薄膜太阳能应用的微晶硅沉积
US8895842B2 (en) High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US20100269896A1 (en) Microcrystalline silicon alloys for thin film and wafer based solar applications
CN102272950A (zh) 用于薄膜与晶圆基太阳能应用的微晶硅合金
JP2010067973A (ja) 薄膜の微結晶シリコン合金及びウエハベースのソーラー用途
CN101755072A (zh) 太阳能电池以及形成该太阳能电池的方法和设备
CN102640301A (zh) 在掺杂区上方清洁和形成带负电荷的钝化层的方法
EP2408023A1 (en) Thin-film Solar Fabrication Process, Deposition method for TCO layer, and Solar cell precursor layer stack
CN104025304A (zh) 用于提高si太阳能电池的表面钝化的性能和稳定性的缓冲层
CN101542745A (zh) 多接面太阳能电池及其形成方法与设备
US20110120536A1 (en) Roughness control of a wavelength selective reflector layer for thin film solar applications
CN102447013A (zh) 薄膜太阳能电池制作工艺、薄膜太阳能电池前体层堆叠的制造方法和太阳能电池前体层堆叠
CN101803039A (zh) Nip-nip薄膜光伏结构
CN102386275A (zh) 用于光电转换模块的前驱体及其制造方法
US20120285522A1 (en) Thin-film solar fabrication process, deposition method for tco layer, and solar cell precursor layer stack
CN102356474A (zh) 高效能薄膜硅太阳能电池的高品质透明导电氧化物-硅界面接触结构
CN102157594B (zh) 一种超晶格量子阱太阳电池及其制备方法
CN103430326A (zh) 微晶PIN结的SiOxN型层
KR101092923B1 (ko) 태양전지 및 그 제조방법
CN115863456A (zh) 一种钙钛矿/TOPCon叠层太阳能电池
Gordon et al. OPTIMIZATION OF TEXTURED-DIELECTRIC COATINGS FOR CRYSTALLINE-SILICON SOLAR CELLS
US20110253207A1 (en) Solar cell device and method for manufacturing same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121003