CN102459717A - 用于电镀的方法及设备 - Google Patents

用于电镀的方法及设备 Download PDF

Info

Publication number
CN102459717A
CN102459717A CN2010800321093A CN201080032109A CN102459717A CN 102459717 A CN102459717 A CN 102459717A CN 2010800321093 A CN2010800321093 A CN 2010800321093A CN 201080032109 A CN201080032109 A CN 201080032109A CN 102459717 A CN102459717 A CN 102459717A
Authority
CN
China
Prior art keywords
ion
auxiliary cathode
substrate
current
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800321093A
Other languages
English (en)
Other versions
CN102459717B (zh
Inventor
史蒂文·迈尔
冯京宾
何志安
乔纳森·里德
塞莎赛义·瓦拉达拉扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102459717A publication Critical patent/CN102459717A/zh
Application granted granted Critical
Publication of CN102459717B publication Critical patent/CN102459717B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/12Shape or form
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/007Current directing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • C25D21/14Controlled addition of electrolyte components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Automation & Control Theory (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种用于向晶片的表面上电镀金属层的设备包括位于贴近所述晶片处的离子电阻性离子可渗透元件及位于阳极与所述离子电阻性离子可渗透元件之间的辅助阴极。所述离子电阻性离子可渗透元件用于调制所述晶片表面处的离子电流。所述辅助阴极经配置以对来自所述阳极的电流分布进行塑形。所提供的配置有效地重新分布电镀***中的离子电流,从而允许电镀均匀金属层且减轻终端效应。

Description

用于电镀的方法及设备
相关申请案交叉参考
本申请案主张对于2009年6月9日提出申请的第12/481,503号美国专利申请案及2009年10月26日提出申请的第12/606,030号美国专利申请案的优先权,上述美国专利申请案出于各种目的且以整体引用方式并入本文中。
技术领域
背景技术
在集成电路(IC)制作中从铝到铜的过渡需要工艺“架构”的变化(到镶嵌及双镶嵌)以及一套全新的工艺技术。一个用于制作铜镶嵌电路的工艺步骤是形成然后用作向其上电镀(电填充)铜的基底层的“籽晶”或“冲击”层。所述籽晶层将电镀电流从晶片的边缘区域(在那里进行电接触)携载到晶片表面上的所有沟槽及通孔结构。籽晶膜通常是薄导电铜层。其通过势垒层与绝缘二氧化硅或其它电介质分离。也已研究使用为铜合金或其它金属(例如钌或钽)的薄籽晶层(其也可同时充当铜扩散势垒层)。关于此些籽晶层的更多细节可见于2009年1月26日提出申请的标题为“扩散障壁层(Diffusion Barrier Layers)”的第12/359,997号美国专利申请案中,所述美国专利申请案以引用方式并入本文中。籽晶层沉积工艺应产生具有对嵌入特征的顶部的良好整体粘合、极好阶梯覆盖(更特定来说,保形/连续量金属沉积到嵌入结构的侧壁上)及最小封闭或“颈缩”的层。
越来越小特征的市场趋势及替代播种工艺推动对在越来越薄的播种晶片上以高度均匀性电镀的能力的需要。将来,预期籽晶膜可简单地由可电镀势垒膜(例如钌)、极薄势垒与铜的双层(例如通过原子层沉积(ALD)或类似工艺沉积的)或各种金属的合金构成。此些薄膜(一些具有先天大的比电阻)向工程师呈现极端终端效应情形。举例来说,当将3安培总电流均匀地驱动到每平方30欧姆的钌籽晶层(30到
Figure BPA00001497921800011
膜的可能值)中时,所述金属中的所得中心到边缘电压降将超过2伏。为了有效地电镀大的表面积,电镀工具与仅在晶片衬底的边缘区域中的导电籽晶进行电接触。不与衬底的中心区域进行直接接触。因此,对于高电阻性籽晶层,层的边缘处的电位显著大于层的中心区域处的电位。在不使用电阻及电压补偿的适当方法的情形下,此大的边缘到中心电压降可导致主要以晶片边缘处的较厚电镀为特征的极不均匀电镀厚度分布。作为比较,电解质溶液(水)的电压降的热动力极限仅为约1.4V。
图1是针对所述问题的近似等效电路的示意图。为清晰起见,将其简化成一维。籽晶层中的连续电阻由一组有限(在此情况下四个)并联电路元件表示。膜内电阻器元件Rf表示从晶片上的外部径向点到更靠近中心的径向点的微分电阻。于边缘处供应的总电流It以由总路径电阻相对于所有其它电阻按比例缩放的I1、I2等分布到各种表面元件。越靠近中心定位的电路因那些路径的Rf的累积/添供电阻而具有越大的总电阻。在数学上,通过所述表面元件路径中的任何一者的分数电流Fi
F i = I i I t = Z T Z i = 1 ( iR f + R ct , i + Zw i + R el , i ) Σ 1 n 1 iR f + R ct , i + Zw i + R el , i - - - ( 1 )
其中n为电路被划分成的并联路径的总数目,i(有时用作下标)是指第i个并联电流路径(从边缘终端),t是指总电路,I为电流,Rf为每一元件之间的金属膜中的电阻(为了简明起见,构造为在每一邻近元件之间相同),Rct为局部电荷传送电阻,Zw为局部扩散(或瓦氏(Warberg))阻抗且Rel为电解质电阻。在此情形下,Ii为通过第i个表面元件通路的电流,且It为通到晶片的总电流。每一界面位置处的电荷传送电阻由一组与双层电容Cdl并联的电阻器Rct表示,但对于稳态情况不影响电流分布。由瓦氏阻抗(符号Zw)及电解质电阻(Rel)表示的扩散电阻展示于一组全部与特定表面元件电路串联以给出供电流横移到阳极的数个并联路径中的一者的并联电路路径中。实际中,Rct及Zw是完全非线性的(相依于电流、时间、浓度等),但此事实并不减弱此模型在比较现有技术与本发明在取得均匀电流分布方面有多么不同中的效用。为实现大致均匀电流分布,分数电流应相同,而不管元件位置(i)如何。当除膜电阻项Rf以外的所有项均相对小时,通到第i个元件的电流为
F = 1 i Σ 1 n 1 i - - - ( 2 )
方程式2具有一强i(位置)相关性且在无显著电流分布补偿效应起用作时产生。在另一极端情况中,当Rct、Zw、Rel或此些项的总和大于Rf时,分数电流接近均匀分布;当此些参数变大时方程式1的极限值为F=1/n,其不相依于位置i。
改进电镀不均匀性的典型方法凭借(1)通过使用铜络合剂或电荷传送抑制剂(例如,电镀抑制器及校平器,旨在形成大的法线到表面电压降,从而使Rf相对于Rct变小)来增加Rct,(2)极高离子电解质电阻(通过Rel产生类似效应),(3)形成显著扩散电阻(Zw),或(4)改变电镀电流方案以最小化电压降,或控制质量传送速率以限制高界面电压降区中的电流密度(参见,例如,美国专利6,110,344、6,074,544及6,162,344,其每一者均以引用方式并入本文中)。
此些方法具有与材料的物理性质及工艺相关的显著限制。通过有机添加剂得到的典型表面极化无法形成超过约0.5V(与例如上文所述必须补偿的2V籽晶层电压降相比较,此为相对小的值)的极化。此外,由于电镀浴液的导电率与其离子浓度及pH相关,因此降低导电率对电镀速率及所电镀材料的形态产生直接及消极影响。
因此需要一种用于向薄金属播种晶片(特定来说,具有大直径(例如300mm)的晶片)上均匀电镀的经改进技术。
发明内容
在一个方面中,通过提供利用具有电解质可渗透孔隙或孔的离子电阻性元件的电镀设备及均匀电镀方法来应对此些需要,其中所述元件驻存于贴近晶片衬底处。本文中所述的离子电阻性离子可渗透元件明显改进薄电阻性籽晶层上的电镀均匀性。其在与经配置以从阳极分流或移除原本将传递到晶片的边缘区域的一部分电流的辅助阴极结合使用时特别有效。在某些实施例中,所述辅助阴极驻存于所述电镀设备中的所述离子电阻性离子可渗透元件与阳极之间。在某些实施例中,所述辅助阴极作为虚拟阴极位于此位置中。本文中所述的离子电阻性离子可渗透元件在晶片阴极附近呈现均匀电流密度且因此充当虚拟阳极。因此,所述离子电阻性离子可渗透元件也将称作高电阻虚拟阳极(HRVA)。
在某些实施例中,HRVA位于贴近晶片处。在某些实施例中,HRVA含有多个彼此隔离且不在HRVA的主体内形成互连通道的贯通孔。此些贯通孔将称作1-D贯通孔,因为其沿一个维度延伸,通常,但未必,与晶片的电镀表面正交。此些贯通孔不同于其中通道沿三个维度延伸且形成互连孔隙结构的三维多孔网络。HRVA的实例是由具有介于约6,000个到12,000个之间的1-D贯通孔的离子电阻性材料(例如聚碳酸酯、聚乙烯、聚丙烯、聚二氟亚乙烯(PVDF)、聚四氟乙烯、聚砜及类似材料)制成的圆盘。在某些实施例中,所述HRVA是其中所述孔隙中的至少一些孔隙互连且因此允许电解质于其内部的一定二维或三维移动的多孔结构。在许多实施例中,所述圆盘与晶片大致共扩展(例如,当用于300mm晶片时具有约300mm的直径)且驻存于贴近晶片处,例如,在晶片面朝下电镀设备中驻存于晶片正下方。在一些实施例中,所述圆盘相对薄,例如其厚度介于约5与50mm之间。所述HRVA的孔隙内所含有的电镀电解质允许离子电流穿过所述圆盘,但处于与整个***相比较显著的电压降下。举例来说,所述HRVA中的电压降可大于对电极(阳极)与晶片***边缘之间的总电压降的约50%,例如,介于约55与95%之间。在某些实施例中,晶片的电镀表面驻存于最靠近HRVA表面的约10mm内,且在一些实施例中,约5mm内。
在用于向衬底上电镀金属的设备的实施例中,所述设备包括经配置以在向所述衬底上电镀金属时含有电解质及阳极的电镀室。衬底固持器经配置以固持所述衬底以使得所述衬底的电镀面在电镀期间定位于距所述阳极经界定距离处,所述衬底固持器具有经布置以在电镀期间接触所述衬底的边缘且将电流提供到所述衬底的一个或一个以上电力触点。离子电阻性离子可渗透元件在电镀期间定位于所述衬底与所述阳极之间,所述离子电阻性离子可渗透元件具有在电镀期间与所述衬底的电镀面大致平行且与其分离约5毫米或小于5毫米的间隙的平坦表面。在一些实施例中,所述阳极可位于允许电流大致仅通过所述离子电阻性离子可渗透元件的孔隙排出所述室的电流局限及引导阳极室中,且辅助阴极位于所述阳极与所述离子电阻性离子可渗透元件之间,并沿***经定向以在电镀期间向所述辅助阴极供应电流时对来自所述阳极的电流分布进行塑形。
在本发明的另一实施例中,向衬底上电镀金属层。电镀所述金属层包括:(a)将具有安置于其表面上的导电籽晶及/或势垒层的衬底固持于电镀设备的衬底固持器中;(b)将所述衬底的工作表面浸没于电解质溶液中且接近定位于所述工作表面与所述电镀室中所含有的阳极之间的离子电阻性离子可渗透元件,且在一些实施例中,所述阳极可位于允许电流大致仅通过所述离子电阻性离子可渗透元件的孔隙排出所述室的电流局限及引导阳极室中,所述离子电阻性离子可渗透元件具有与所述衬底的工作表面平行且与其分离约5毫米或小于5毫米的间隙的平坦表面;(c)将电流供应到所述衬底以向所述籽晶及/或势垒层上电镀所述金属层;及(d)将电流供应到位于所述阳极与所述离子电阻性离子可渗透元件之间的辅助阴极以对来自所述阳极的电流分布进行塑形。
下文将参考附图更详细地描述本发明的此些及其它特征与优点。
附图说明
图1A是描绘用于在薄籽晶层上电镀的等效电路的示意图。
图1B是描绘用于在存在具有3D多孔网络的离子电阻性离子可渗透元件时在薄籽晶层上电镀的等效电路的示意图。
图1C是描绘用于在存在具有1D多孔网络的离子电阻性离子可渗透元件时在薄籽晶层上电镀的等效电路的示意图。
图2A是根据本文中所呈现的实施例具有多个1D贯通孔的离子电阻性元件的俯视图的示意图。
图2B是根据本文中所呈现的实施例具有多个1D贯通孔的离子电阻性元件的横截面视图的示意图。
图3A到3D是强调根据本文中所呈现的实施例的代表性电镀设备的不同组件的横截面示意图。
图4是图解说明晶片、HRVA及第二辅助阴极的电镀设备顶部部分的横截面视图。
图5是图解说明晶片、HRVA、第二辅助阴极及定位在HRVA上方及HRVA的***上的固定屏障的电镀设备顶部部分的横截面视图。
图6A到图6D是四个不同电镀设备配置的横截面示意图。电流及电压线图解说明于所述横截面示意图上。图中也展示所述电镀设备中的每一者的电流密度对径向位置的代表性曲线图。
图7是根据本文中所呈现的实施例并入HRVA、辅助阴极及第二辅助阴极的电镀设备的流程图。
图8A到图8B是展示电镀有铜的具有每平方50欧姆的最初薄层电阻的晶片的电镀后薄层电阻及所计算膜厚度的曲线图。
图9是展示电镀有铜的具有每平方10欧姆的最初薄层电阻的晶片的电镀后薄层电阻的曲线图。
图10是展示使用所揭示本发明实施例电镀的晶片的电镀前薄层电阻与电镀后薄层电阻的曲线图。
图11是用于本发明实施例的数值模拟的有限元网格。
图12是展示电流密度对径向位置的有限元建模的结果的曲线图。
具体实施方式
高级技术要求向具有每平方10欧姆及更高(甚到每平方20欧姆或每平方40欧姆或更高)的薄层电阻的晶片上电镀金属。此需要更加大胆的技术(即,除仅使用单独HRVA或单独辅助阳极(thief)电极之外的技术)来补偿终端效应。在电镀期间,金属厚度及薄层电阻可在短时间里下降数个数量级,且因而需要能够在其中可存在快速最初变化及稍后相对恒定薄层电阻的整个工艺中在晶片上均匀地电镀的方法及设备。本发明实施例应对由此些高电阻籽晶层、籽晶电参数的快速动态变化及其带来的极端终端效应所带来的挑战。
本发明实施例涉及用于向上面具有籽晶层的工件上电镀大致均匀的金属层的方法及设备。在某些实施例中,电镀单元包括贴近工件的多孔HRVA及漏失电极(也称作“辅助”阴极)两者。所述“漏失”电极位于所述HRVA与阳极之间。在一些情况下,可使用多个漏失电极。漏失阴极可移除或分流从阳极发出的在没有漏失阴极的情况下被引导到***(包括晶片的极边缘)的离子电流的一部分,由此修改电流并实现由晶片经历的大大改进的电流密度均匀性。然而,在一些情况下,可期望使用本发明实施例来形成由晶片经历的不均匀电流密度。举例来说,可期望形成不均匀电流密度,从而在超覆沉积期间产生不均匀金属电镀,以有助于化学机械抛光(CMP)、湿式化学蚀刻、电抛光或机电抛光。
值得注意的是,结合贴近晶片定位的多孔HRVA使用所述辅助阴极提供远远超过由此些元件个别地提供的优点的优点,且所述两者的组合以协同方式工作。所述辅助阴极定位于所述电镀单元的阳极与HRVA之间。在垂直定向的单元的情况下,所述辅助阴极位于HRVA下方。在某些实施例中,所述辅助阴极通常呈环形或环状形状以对所述工件的***区域处的电流密度分布提供显著影响。可通过小的HRVA到晶片间隔及/或通过限制电流在HRVA的主体中的流动来突出此阴极的优点。
采用辅助阴极来调制引向晶片(在例如移动机械屏障或膜片上方)的电流的优点中的一者在于可在所述电镀工艺期间快速地且动态地控制施加到所述辅助阴极的电流电平以计及在沉积金属时快速改变金属薄层电阻。此有助于在所述电镀工艺的不同时间期间使电镀不均匀性保持为最小值。举例来说,当所述层为薄时,施加到所述辅助阴极的电流电平可以高电平开始,且接着可随着所电镀层的厚度增加及终端效应的严重性减退而在电镀期间(例如,在几秒的周期内)逐渐地或递增地减小。
HRVA及/或第二辅助阴极(其靠近工件定位)可影响工件的电镀表面,并通过改变仅贴近于所述工件的面的区域中的电压及电流分布来对晶片上的电流分布重新塑形。此些元件不显著影响电解质内或距所述工件表面较大距离(例如在HRVA下方)的阳极处的电流分布。因此,此些措施(使用HRVA及/或如本文中所述位于晶片或HRVA附近的第二辅助阴极)对更靠近驻存于HRVA下方的阳极的电流分布几乎没有影响。在许多情况下,离子电流分布在阳极与HRVA之间的区域中几乎保持恒定。
相对于不具有HRVA的配置,单独HRVA通常将改进远程径向电流分布(从不太均匀到比较均匀)。然而,在不具有限于在厚度/薄层电阻范围内的应用的特定径向孔隙图案或机械启动的动态屏障改变的情况下,所述径向电流分布通常趋于为不完全均匀的、通常中心为薄的。定位于HRVA上方及晶片边缘***的次要辅助阴极可动态地影响边缘电流分布(通常限于处于距边缘约1到3cm内的区域),但不改变中心电镀区域的电流分布。对于一些应用,特别是薄层电阻非常大的情形,使用如本文中所述的HRVA及/或第二辅助阴极可能不足以完全克服终端效应。
可有必要修改充分移离工件的位置处(即,在相对较靠近阳极的位置处)的电解质内部的电流分布,以足够应对当使用极高电阻籽晶或籽晶/势垒组合层时的终端效应。在本文中所述的某些实施例中,此是通过将辅助阴极定位于在HRVA下方且在工件与阳极之间的某一位置处来取得。所述辅助阴极经塑形及定向而以如下方式修改在电解质内平行于晶片、在HRVA下方且位于距工件某一距离处的平面中的电流密度分布:减小位于工件的边缘区域下方且对应于工件的边缘区域的平面的区域中的电流密度及电流向量(流动方向)。此类似于置于电镀室中的工件下方的物理膜片或屏障的晶片上效应。出于这个原因,本发明实施例的辅助电极有时称作“电子膜片”或“EIRIS”,因为电子辅助电极用于取得类似于放置于晶片与阳极之间的电流路径中的物理膜片的效果。然而,在EIRIS的情况下,电流向量轨道径向向外移位,而不是被阻挡于更大半径处且由物理膜片向内推动并挤压。
为详细描述,EIRIS与物理膜片或屏障之间的一个差异在于来自阳极的所有电流均穿过所述物理膜片,因为其“勉强通过”膜片或屏障限制。电流在向上传递之前基本或完全由膜片屏障阻挡且从边缘区域径向向内重新选路。因此,通常增加屏障开口的区域中的中心电流密度。在EIRIS的情况下,并非从阳极发出的所有电流均抵达晶片,因为有些边缘电流通常径向向外朝向辅助电极分流。在辅助阴极上方,引向晶片的电流密度向量的量值因分流而趋于减小,但在位于EIRIS上方的配备EIRIS的电镀设备的中心区域中的电流密度仅相对于非EIRIS情况稍微降低或者不变。
其中辅助阴极作用于的区域通常平行于衬底表面且与其分离。通常,期望使辅助阴极位于相对靠近HRVA的下部表面处以使得电流在到达HRVA表面之前不具有在其中重新分布成更不均匀分布型(profile)的空间。HRVA的下部表面与辅助阴极之间的距离d一般应大约等于或小于正向其上电镀金属的晶片的半径r(即,d~≤r)。辅助阴极也应显著高于阳极的平面以便来自阳极的电流具有用以改变方向而无过大辅助阴极电压或电流的空间。
通常,应使位于阳极室中且低于晶片及HRVA(当***具有HRVA时)的辅助阴极的距离保持小于晶片直径的约50%。举例来说,对于300mm晶片,辅助阴极可低于晶片介于约0.75与6.5英寸之间且低于HRVA介于约0.25与6英寸之间。与此相对比,阳极相对于晶片、HRVA(当采用时)及辅助阴极的位置是在功能效能以及工程设计浪费之间的折衷。通常,阳极一般应在阳极室中且低于所有三个此些元件。但当所述电镀设备可能使阳极位于晶片、HRVA及辅助电极下方很远处,例如,位于晶片下方40英寸处时,此电镀设备(当可使其起作用时)将需要相当多的多余功率。
如已经提到,辅助阴极应相对靠近晶片或HRVA的底部表面。作为另一实例,如果辅助阴极位于晶片下方39英寸处而阳极位于晶片下方40英寸处(即,相当靠近阳极的平面且远离HRVA的底部),那么大部分来自阳极的电流将去往EIRIS,但留在电镀设备阳极室的下部区域中的电流在到达晶片之前将有大的距离要行进。在此距离上,电流在其到达HRVA及晶片之前往往将平衡回到不同电流分布,以使晶片处的均匀性将基本不受EIRIS的存在影响。另一选择为,如果阳极距晶片0.75英寸,低于HRVA 0.25英寸,且大致平行于或甚到高于EIRIS,那么电镀设备也不会像在阳极如上文所述大致低于EIRIS时一样工作,因为EIRIS不会同样有效地从所述单元的更靠近中心区域移除电流。因此,在一些实施例中,最靠近晶片的物理阳极(或虚拟阳极口)表面的距离应低于最靠近晶片的EIRIS电极(或虚拟EIRIS腔口)的平面至少晶片直径的约1/10。举例来说,若EIRIS电极最靠近点到300mm晶片的平面低于晶片50mm且低于HRVA 25mm,那么阳极应低于所述平面至少约30mm,或低于晶片共计80mm(30+50=80)。
电阻性元件的结构
在某些实施例中,本文中所提供的离子电阻性离子可渗透元件是具有连续三维孔隙网络的微孔板或圆盘(例如,由陶瓷或玻璃的烧结粒子制成的板)。具有三维孔隙网络的多孔板具有经由其离子电流不仅可沿阳极的一般方向垂直向上穿过圆盘行进到晶片,而且可横向(例如,从中心到圆盘的边缘)穿过圆盘行进到晶片的缠结孔隙。此些板的合适设计的实例呈现于2005年1月20日提出申请的第11/040,359号美国专利申请案中,所述美国专利申请案以引用方式并入本文中。
在其它实施例中,在电阻性元件中提供贯通孔以在元件的主体内形成彼此大致不连通的通道,由此最小化离子电流在元件中的横向移动。电流大致沿与电阻性元件附近的最靠近所电镀表面正交的向量方向以一维的方式流动。
具有1D贯通孔(也称作1D多孔HRVA)的离子电阻性离子可渗透元件通常为由具有多个经钻制(或以其它方式制作)通过其的孔的离子电阻性材料制成的圆盘(也可使用其它形状)。所述孔不在圆盘的主体内形成连通通道且通常沿与晶片的表面大致正交的方向延伸穿过圆盘。各种离子电阻性材料可用于圆盘主体,包括但不限于聚碳酸酯、聚乙烯、聚丙烯、聚二氟亚乙烯(PVDF)、聚四氟乙烯、聚砜及类似材料。优选地,圆盘材料抵抗在酸性电解质环境中的降解,相对硬,且易于通过机械加工来处理。
在一些情况下,HRVA是离子电阻性元件,其具有大量贴近工件的隔离且不连接的离子可渗透贯通孔(例如,具有允许离子通过的多个穿孔或孔隙的电阻性圆盘),由此支配或“淹没”整体***的电阻。当相对于晶片薄层电阻有足够电阻性时,可将所述元件制成为近似均匀分布电流源。通过使工件保持靠近电阻性元件表面,从元件的顶部到表面的离子电阻大大小于从元件的顶部到工件边缘的离子路径电阻,从而大致补偿薄金属膜中的薄层电阻并引导大量电流越过工件的中心。一些与使用贴近衬底的离子电阻性离子可渗透元件相关联的优点及细节详细论述于先前以引用方式并入的第11/040,359号美国专利申请案中。
不管圆盘是否准许一维或多维电流流动,其优选地与晶片共扩展,且因此具有通常接近于正被电镀的晶片的直径的直径。因此,举例来说,圆盘直径的范围可介于约150mm与450mm之间,其中约200mm圆盘用于200mm晶片,约300mm圆盘用于300mm晶片,且约450mm圆盘用于450mm晶片,依此类推。在其中晶片具有大体圆形形状但在边缘处具有不规则性(例如,其中晶片被切削成翼弦的凹口或平坦区域)的那些情形中,仍可使用圆盘形HRVA,但可对***进行其它补偿调节,如先前以引用方式并入的指定雷德(Reid)等人为发明者的2008年11月7日提出申请的第12/291,356号美国申请案中所述。在一些实施例中,HRVA具有大于待电镀的晶片的直径的直径(例如,大于200mm或300mm),且具有不含孔的外部边缘部分(在一维HRVA的情况下)。此边缘部分可用于围绕晶片的***形成小间隙(介于HRVA边缘部分与晶片边缘或晶片固持杯的底部之间的***间隙),且有助于将HRVA安装于室内,例如,安装到阳极室壁。在一些实施例中,不含孔的HRVA边缘的大小从HRVA的外部边缘到HRVA的有孔的部分的边缘介于约5mm与约50mm之间。
在一维HRVA的情况下,制作于圆盘中的贯通孔的数目应相对大,但每一孔的直径应相当小。通常,每一孔的直径一般应小于HRVA到晶片间隙的约1/4。在一个实施例中,孔的数目的范围介于约6,000个与约12,000个之间,每一孔(或至少95%的孔)具有小于约1.25mm的直径(或其它主尺寸)。在图2A中展示HRVA板201的示意俯视图,其图解说明具有大量展示为黑点的小直径开口的顶部HRVA表面。图2B图解说明HRVA圆盘201的一截面图,其示意性地图解说明非连通贯通孔。在此实施例中,贯通孔大致垂直于HRVA圆盘的顶部及底部表面。HRVA圆盘的厚度的范围在一些实施例中介于约5mm与约50mm之间,例如介于约10mm与约25mm之间。
尽管图2A中所展示的HRVA具有均匀贯通孔分布,但在其它实施例中,有利地使用具有若干具有不均匀孔分布,或具有被阻挡以使得晶片经历不均匀孔分布的孔的区域的HRVA。此分布将更多电流永久地引向中心,因而与在使用均匀孔分布的情况下相比更均匀地电镀高电阻膜。然而,若使用不均匀孔分布,那么极厚膜(即,具有低薄层电阻)将趋于更不均匀地电镀。被阻挡或遗漏的孔可沿径向、方位角、或所述两个方向呈不均匀的。在一些实施例中,离子电阻性离子可渗透元件与晶片及阳极表面大致平行定位,且所述一维贯通孔与晶片与阳极表面之间的方向平行定向。在其它实施例中,至少一些孔使其相对角被修改以改变相对于元件厚度的孔长度,且由此修改孔对电阻的局部影响。
此处值得注意的是,HRVA不同于其主要功能是分布电解质流,而不是提供显著电阻的所谓扩散器板。只要1)流动是相对均匀的;2)晶片固持器与扩散器平面之间的间隙足够大;及3)晶片与阳极之间的间隔足够大,那么在电镀高薄层电阻晶片时低电阻扩散器与晶片之间的相对间隙通常将仅对电流分布具有次要影响。此外,扩散器结合位于所述扩散器下方的辅助电极在实现均匀电流(尤其是在高电阻晶片上)方面不如HRVA/辅助电极组合(如本文中所述,及图12中所比较,曲线1203对1204)有效,因为不存在所述扩散器上方及下方的添供电压降及分离。与此相对比,HRVA显著增供电镀***的电阻,如为提高电镀均匀性所需。
通常,对于300mm***,多孔电阻性元件(即,HRVA)结合使***电阻增加至少0.45欧姆,或至少0.6欧姆的适当电解质是优选的。多孔电阻性元件应使***电阻针对每一cm2的投影多孔元件面积增加至少约300,或优选地至少约420欧姆cm2。所提供元件的高电阻是通过提供低但连续连接的孔隙率来实现。重要的不仅仅是多孔电阻性元件的孔隙率,还有具有非常低的孔隙率的相对薄层的组合HRVA特性,以便实现可贴近晶片表面定位的极高电阻的紧凑区域。在某些实施例中,HRVA的厚度小于晶片直径的约15%。与此相对比,如果使用非一维、更低孔隙率、更厚但仍更高电阻元件,那么可使总***电阻变为相同,但电流分流特性将不相同。厚、相对低多孔元件内的电流趋于在其向上流动时进入中心区域并径向向外流动。极高电阻板趋于只使电流向上朝晶片流动。
在一维HRVA的情况下,通过提供极大量各自具有极小主尺寸(或圆孔的直径)的小贯通孔来防止电流径向流动。举例来说,具有介于约6,000个到12,000个之间的穿孔(其中每一穿孔具有小于约5mm,例如,小于约4mm,小于约3mm或小于约1mm的直径)的圆盘是合适的电阻性元件。适合的圆盘的孔隙率值的范围通常从1%到5%。此些圆盘使电镀***的电阻增加约0.3到1.2欧姆或以上,此相依于设计及电解质导电率。与此相对比,扩散器板通常具有构成不超过为了通过显著粘流电阻实现大致均匀电解质流动所需的大得多的净孔隙率(范围从25到80百分比开放空隙分数)的开口,且一般对电镀***的电阻具有小得多、通常微不足道的整体影响。
在一个具体实例中,离子电阻性离子可渗透元件(HRVA)是具有约9,000个各自具有约0.6mm的直径的唯一1维个别穿孔的圆盘。此实例中的圆盘具有约300mm的直径(与300mm晶片大致共扩展)、约13mm的厚度,且通常置于距晶片表面从约2到5mm处。
尽管HRVA(不同于扩散器板)应始终具有显著电阻率,但在一些实施例中,所述HRVA经配置以使得其不使***总电阻增大超过约5欧姆。尽管可使用更大***总电阻,但此限制是因为过大电阻将需要使用增大的功率,从而导致对电镀***的不期望加热。此外,因对可制造性(即,形成大量或过小直径孔)、效能(更少孔导致个别孔电流“成像”)及一般工艺效用的损失(例如,无法电镀更厚的膜而没有浪费功率、热及浴液降解)的一些实际限制,所以约5欧姆是可行的HRVA限制。
一维电阻性元件的另一重要参数是贯通孔直径(或其他主尺寸)对元件距晶片的距离的比率。实验发现且随后通过计算机建模通过检验,此比率应大约为1或小于1(例如,小于约0.8,或小于约0.25)。在一些实施例中,此比率为约0.1以提供最好电镀均匀性效能。换句话说,贯通孔的直径应等于或小于从电阻性HRVA元件到晶片的距离。与此相对比,如果贯通孔直径大于晶片到HRVA距离,那么贯通孔可在其上方的所电镀层上留下其个别电流图像或“足迹”,由此导致电镀的小规模不均匀性。上述孔直径值是指在接近于晶片的HRVA面上所测量的贯通孔开口的直径。在许多实施例中,HRVA的近端及远端面两者上的贯通孔直径是相同的,但应理解,孔也可呈楔形。
电流在晶片处的分布(及因而,电镀均匀性)可取决于若干因素,例如电镀间隙(HRVA板到晶片距离)、电解质流率、阳极室设计、电镀溶液性质及HRVA上的孔分布均匀性。关于孔分布,HRVA板中的孔可设计为相同大小且大致均匀地分布。然而,在一些情况下,此布置可导致所电镀膜厚度的中心尖峰或陷落,或波浪形(波状)图案。特定来说,使用在中心具有均匀孔分布的HRVA已产生在1μm所电镀层情况下为约200到
Figure BPA00001497921800121
的中心尖峰。
在一个实施例中,采用HRVA的中心区域中的不均匀1D孔隙/孔分布来防止中心尖峰。HRVA的中心区域由圆形区域界定于HRVA中心处,通常在距HRVA的中心约1英寸半径内,或在晶片半径的约15%内。对于尖峰减小有效的不均匀贯通孔分布可具有通过以另一均匀图案移位孔、添加新孔及/或阻挡孔所实现的各种布置。各种不均匀中心孔图案可适用于避免电镀不均匀性且描述于2008年11月7日提出申请且先前以引用方式并入的第12/291,356号美国专利申请案中。
虚拟电极
应在如本文中所述的电镀设备中识别出两种类型的电流源(或宿)电极:虚拟电极及物理电极。所述两种类型的电极提供电流源(阳极)或电流宿(阴极)。
物理电极俗称为电化学界面,通常由例如金属的导电材料(例如铜)构成,所述导电材料是其中在电解质界面处发生电化学反应的固态(或在一些情况下在使用例如水银的导电液体时为液体)物理结构。物理电极的实例是其中发生铜电沉积或氧化的铜片。安置于电镀室的电解质内的此些物理导电阳极或阴极可具有各种尺寸且可根据需要位于电镀室内的任何地方,在如本文中所述的阳极室内部或外部、在电镀衬底或HRVA板的上方、下方或侧面,此相依于电极的类型及其所期望功能。尽管所述物理电极具有有限大小(深度),但当所述电极为非多孔的(例如,呈实心金属片形式)时,物理电极对反应电流分布的影响通常主要限于电极的暴露于室内的电解质的表面轮廓。
虚拟电极具有位于移离所述虚拟电极的位置的位置处的相关联物理电极。换句话说,使所述虚拟电极与其相关联物理电极的位置分离某一距离。然而,所述虚拟电极与其相关联物理电极离子导电连通。除其物理电极以外,虚拟电极还由约束与所述物理电极相关联的电流及电流分布的绝缘或高电阻性腔结构界定。此结构通常与电镀溶液接触。在不具有绝缘或高离子电阻性结构的情况下,从物理电极的电流分布可在虚拟电极的位置处明显更不均匀。典型绝缘结构是除通到电镀室的更大区域的开口或口(例如,通到所述室的主要部分的开口)以外沿各个方向环绕物理电极的聚焦管或聚焦腔。此些设计中的虚拟电极的有效位置是虚拟电极的口(即,其中腔或其它包容结构通向电镀容器的更大区域(例如含有正被电镀的工件的区域)的位置)。由绝缘结构中的腔界定的虚拟阴极的实例展示为图3A中的元件347及348,其中相关联物理阴极分别展示为元件340及342。由腔及高离子电阻性结构形成的虚拟阴极的实例是展示为图3A中的元件311且与阳极306相关联的高电阻虚拟阳极(HRVA)。其它虚拟阳极展示于图4及2005年1月20日提出申请的第11/040,359号美国专利申请案中的相关联论述中,所述美国专利申请案出于各种目的以引用方式并入本文中。
通常,虚拟电极可以三个元件为特征:1)物理电极;2)含有离子导电电解质的电介质外壳腔,其约束离子电流往来于物理电极流动的方式;及3)一个或一个以上腔口。如所指示,所述电介质外壳腔结构基本上允许限定、引导及/或聚焦通过所述虚拟电极腔口递送到所述腔或从所述腔发出的电流。通常,相关联物理电极在虚拟电极腔中的位置允许物理电极影响大致移离电极的物理位置并换位到虚拟电极的位置。
在某些实施例中,虚拟电极腔内的物理电极位于隔膜(例如阳离子导电隔膜)后面或下方。此隔膜可起到限制物理电极暴露于电镀浴液添加剂及/或防止物理电极处所产生的粒子进入主电极室或行进到晶片表面的作用。在一些实施例中,虚拟电极腔的***有高电阻多孔电介质元件(所谓的高电阻虚拟阳极或阴极板)。包括此板大致增加其内部的电压降,且允许虚拟电极的口更贴近均匀电流源,而此在一些情况下可增加虚拟电极的径向效果并在更低的总辅助电极电流下形成更均匀的晶片电流。
不导电虚拟电极腔结构(例如塑料壁)引导所有或大致所有来自或去往装纳于虚拟电极腔内部的物理电极的电流以从虚拟电极腔口发出或进入到虚拟电极腔口中。导电物理电极的表面处的电位通常大约为单个恒定值。此条件可(但未必)在虚拟腔口处为近似的。应理解,不必使虚拟腔口具有在物理电极位于其中的情况下将发生的虚拟阴极/阳极口位置处的相同电流分布的所有性质,或产生在物理电极位于其中的情况下将发生的虚拟阴极/阳极口位置处的相同电流分布。然而,来自物理电极的所有电流必须穿过腔口,且当适当地设计电极、腔、电阻性元件及其它组件时,可使跨越虚拟电极的电位及电流分布两者变成大致均匀。举例来说,可修改腔的形状以改进物理阴极上的电镀的均匀性。虚拟电极口区域通常(但未必)为平面、环形或锥形,但其它形状无疑是可能的。出于许多目的,虚拟阴极口似乎产生类似于“真实”物理电极的效果,因为其呈现其中电流传递到或传递出主单元元件(例如,主阳极室)的表面。如所指示,此腔口“表面”通过以类似于在物理电极位于虚拟电极口的位置处的情况下物理电极将提供或消耗离子电流的方式提供或消耗离子电流来影响电镀条件。
具有HRVA及辅助阴极的电镀***
在图3A到图3D中展示采用贴近晶片的电阻性元件(即,HRVA)、辅助阴极及第二辅助阴极的电镀设备的图解说明。图3A是电镀设备的概略横截面视图。图3B是包括用于晶片及辅助阴极的电源的电镀设备的概略横截面视图。为清晰起见,图3B不展示第二辅助阴极、第二辅助阴极电源或任何电解质流路径。图3C及图3D是电镀设备的进一步横截面视图。图3A到图3D中的横截面视图是电镀设备的实例,且应理解,可在随附权利要求书的精神及范围内修改所述电镀设备。举例来说,第二辅助阴极不需要存在于所有实施例中。作为另一实例,所述辅助电极可在分离阳极电解质室(SAC)下方且是分离阳极电解质室的一部分且使其电流穿过SAC室的阳离子隔膜而不是单独隔膜及不同电流路径。作为又一实例,所述HRVA不需要存在于所有实施例中。
参考图3A到图3D,图中展示电镀设备302的横截面视图。所述电镀***包含含有阳极室及阴极室309的电镀室。所述阳极室包括两个室:“下部”阳极室,其包含其中驻存阳极306的分离阳极电解质室(SAC)304;及上部扩散室308(也称作HRVA室或阴极电解质室),其通过阳离子隔膜310与分离阳极电解质室分离。所述扩散室含有上文所述的高电阻性离子可渗透元件(即,HRVA)311及展示处于液位312的电解质溶液(有时称作阴极电解质)。分离阳极电解质室也含有可是也可不是扩散室中的同一类型的电解质的电解质溶液(有时称作阳极电解质)。
HRVA 311位于贴近晶片处(在10mm内,优选地在5mm内)且充当通到晶片的高电阻离子电流源。所述元件含有多个1D贯通孔且已详细描述于上文中。
晶片314浸没于所述电解质溶液(即,所述阴极电解质)中。在一些实施例中,晶片固持器316是蛤壳式设备,其通过装纳于通常弹性“唇形密封件”后面的若干接触指与晶片的***接触,所述通常弹性“唇形密封件”用于密封蛤壳并使边缘接触区域及晶片背面保持大致不含电解质,以及避免任何向所述触点上的电镀。关于具有适用于本发明的方面的蛤壳式电镀设备的大体说明详细描述于颁发给巴顿(Patton)等人的美国专利6,156,167及颁发给雷德(Reid)等人的美国专利6,800,187中,上述美国专利均出于各种目的以引用方式并入本文中。
蛤壳由两个主件构成。cone蛤壳的第一件为圆锥体,其可打开以允许***并抽出晶片。圆锥体也对触点及密封件施加压力。蛤壳的第二件为晶片固持杯。通常需要杯的底部由绝缘体制成(或涂布有绝缘体)以避免任何例如发生在置入具有横向变化电位的电解质中的金属上的电化腐蚀及电沉积反应(如此处的情况)。然而,同时,杯底部需要在机械上为强的。因为其需要为薄的以避免晶片边缘附近的电解质流扰动同时足够强以将杯向上压靠在晶片及圆锥体上同时避免弯折。因此,在一些实施例中,杯底部是涂布有绝缘材料(例如玻璃或塑料)的金属。
阳离子隔膜310允许分离阳极电解质室与扩散室之间的离子连通,同时防止在阳极处所产生的粒子进入晶片附近并污染晶片。阳离子隔膜也适用于阻止非离子及阴离子物种(例如浴液添加济)穿过所述隔膜且在阳极表面处降解,且在较小程度上适用于在电镀工艺期间重新分布电流并由此改进电镀均匀性。对合适离子隔膜的详细说明提供于颁发给Reid等人的美国专利6,126,798及6,569,299中,所述两个专利以引用方式并入本文中。对合适阳离子膜的详细描述提供于2008年12月17日提出申请的标题为“具有通风电介质歧管的电镀设备(Electroplating Apparatus With Vented Electrolyte Manifold)”的第12/337,147号美国专利申请案中,所述美国专利申请案以引用方式并入本文中。对合适阳离子隔膜的进一步详细说明提供于2008年12月19日提出申请的标题为“具有多个内部灌注室的电镀方法及设备(PLATING METHOD AND APPARATUS WITHMULTIPLE INTERNALLY IRRIGATED CHAMBERS)”的第61/139,178号美国专利申请案中,所述美国专利申请案以引用方式并入本文中。
通过单独泵(未展示)将电解质溶液连续提供到分离阳极电解质室及扩散室。对于分离阳极电解质室,电解质在下部岐管320处进入所述室且在322处排出。对于扩散室,电解质在岐管330处进入所述室且通过溢出溢流壁334而经由358排出。
电镀设备302也含有辅助阴极347及第二辅助阴极348。在所描绘实施例中,辅助阴极347及第二辅助阴极348为虚拟阴极。物理阴极340及342分别与辅助阴极347及第二辅助阴极348相关联。图3A到图3D中所展示的所有实施例均包括虚拟阴极及相关联物理阴极。
在其它实施例中,所述虚拟阴极中的一者或两者由物理阴极替换,且物理阴极简单地位于虚拟阴极的位置处。所述电镀设备以类似于虚拟阴极或物理阴极(不具有虚拟阴极)的方式执行。然而,如下文所述,使用虚拟阴极提供优点。
辅助阴极347位于HRVA下方。其定位于阳极室(即,扩散室或密封阳极室)中。在图3A到图3D中所展示的实施例中,辅助阴极位于扩散室中的阳离子隔膜上方。在图3A到图3D中所示的实施例中,辅助阴极347包含装纳于具有其自身的电解质流电路及泵(未展示)的室341中的物理阴极340。在一些实施例中,辅助阴极的大小(即,虚拟阴极室的开口的高度)为正被电镀的晶片的半径的约5到15%(在某些实施例中,约10%)。在图3A到图3D中,电解质在350处进入辅助阴极室341且在352处排出。辅助阴极室通过离子可渗透隔膜344与扩散室308分离。刚性框架可提供对所述隔膜的支撑。隔膜344允许扩散室308与辅助阴极室341之间的离子连通,由此允许电流分流到辅助阴极347。隔膜344的孔隙率是如此以使得其不允许颗粒材料从辅助阴极室341横越到扩散室308并产生晶片污染。在一些实施例中,离子可渗透隔膜344为阳离子隔膜(例如Nafion),且所述隔膜不产生显著离子电阻(比较,例如,下文所述的元件349)。用于允许辅助阴极室与阳极室之间的流体及/或离子连通的其它机构属于本发明的范围内,包括上文所述的离子隔膜及阳离子隔膜。实例包括其中除隔膜344以外不可渗透壁提供阳极室中的电解质与辅助阴极室中的电解质之间的势垒的一部分的设计。
在一些实施例中,与辅助阴极347相关联的物理阴极340是位于辅助阴极室341内的环形金属条带。物理阴极340通过例如附接到电极缆线(未展示)的馈通连接器连接到电源370。构成物理阴极340及其表面的金属优选地在电镀条件下是惰性的。关于可用作物理阴极的惰性金属的实例包括钽、钨、钛、钯或铂、钯或镀铂金属衬底(例如钛或钨或钽)、铱、镀铱钛及类似金属。在一些实施例中,使用正被电镀的相同材料作为物理阴极材料。举例来说,在电镀铜时可使用包含铜的物理阴极。
辅助阴极室341及物理阴极340的尺寸可相依于对电镀工艺的需要而变化。在一些实施例中,物理阴极的宽度为正被电镀的晶片的半径的约10到20%(在某些实施例中约15%)。在一个实施例中,物理阴极是金属条带,其具有约0.1到2mm的厚度、约0.5到5cm的宽度及横贯阳极室的***区域的长度。其它阴极配置的实施例包括圆形杆(O形超环状体)、C形杆、具有其中个别线圈界定小圆且整体盘式结构环绕辅助阴极或阳极室中的主电镀容器的圆形配置的线圈。
尽管不需要将辅助阴极室限制为分数容积,但其通常小于阳极室,具有为阳极室的约1到20%且在一些实施例中大约5%的容积。如上文所述,通常期望使辅助阴极位于相对靠近HRVA的下部表面处,以使得电流在到达晶片表面之前不具有在其中重新分布的空间。HRVA的下部表面与辅助阴极之间的距离d一般应约等于或小于正向其上电镀金属的晶片的半径r(即,d~≤r)。在其中不采用HRVA的实施例中,晶片与辅助阴极之间的距离d一般应约等于或小于正向其上电镀金属的晶片的半径r的1.3倍(即,d~≤1.3r)。辅助阴极也应显著高于阳极的平面以使来自阳极的电流具有用以改变方向而无过大辅助阴极电压或电流的空间。
在进一步实施例中,高离子电阻性多孔隔膜349(其在构造上通常类似于HRVA本身的构造,但不需要特别小或众多孔)定位于辅助阴极室与阳极室之间。此隔膜用于对到电镀单元的侧面的电流分布进行塑形,以使其更均匀。用于此目的的隔膜通常具有介于约1到5%之间的孔隙率。其可包括也可不包括小的一维孔。符合此功能的隔膜349的电阻通常与在晶片前面的HRVA 311的电阻相称,从而改进到辅助电极的电流分布均匀性,以及使虚拟辅助电极口处的电流更均匀/一致。在某些实施例中,高离子电阻性多孔隔膜349的厚度小于约25mm,且优选地其厚度为约12.5mm。隔膜349中的实例性孔直径大小介于约1与10mm之间。也可使用槽口或其它开口。
在一些情况下,当使用位于电镀设备中的电镀衬底下方的辅助阴极时,可期望不使用HRVA 311。举例来说,当晶片的薄层电阻不大于每平方约5欧姆时,可能使用此不含HRVA的***。在一些情况下,单独所述辅助阴极(优选地,但未必,结合下文更详细描述的位于阳极室上方及晶片固持器的***的第二辅助阴极)可能够将由晶片经历的电流密度的均匀性改进到足够水平而不增加HRVA的额外成本及复杂度。
第二辅助阴极348位于阳极室外部、HRVA到晶片间隙315的外部及***间隙317的外部。如上文所述,图3A到图3D中所展示的实施例中的第二辅助阴极为虚拟阴极。类似于辅助阴极的第二辅助阴极具有相关联第二物理阴极342、室343且可含有其自身的电解质流环路、泵(未展示)及阳离子隔膜346,如图3A到图3D中所展示。在图3A到图3D中,电解质在354处进入室343且在356处排出。阳离子隔膜346允许第二辅助阴极室与电镀单元之间的离子连通,同时防止任何在第二辅助阴极处所产生的粒子进入到电镀室中。关于第二辅助阴极的配置的进一步细节可在先前以引用方式并入的2008年11月7日提出申请的第12/291,356号美国申请案中给出。
在一些实施例中,第二辅助阴极的第二物理阴极包括多个段,其中所述段中的每一者均可由单独电源或使用一个具有多个适于给第二物理阴极的段独立供电的通道的电源来单独地供电。此分段式第二物理阴极特别适用于在非圆形或不对称晶片(例如具有平坦区域的晶片)上电镀。尽管现今相当罕见,但一些晶片含有晶片“平地”、例如用于对准的位于晶片边缘处的晶片的切出弧。然而,通常,具有独立供电段的分段式第二物理阴极可用于任一种类的工件(对称的或不对称的),因为其允许细调电镀均匀性。特定来说,分段式第二物理阴极可用于提供晶片的不同方位角位置处的电流校正。
由于晶片平坦区域处的电流密度通常将不同于晶片的圆形区域处的电流密度,因此与其它部分相比较需要从晶片平坦部分分流不同的电流量。因此,在一个实施例中,第二物理阴极段与晶片旋转相呼应地供电,以使得将第一电流电平供应到与晶片平坦区域对准的段,而将第二电流电平供应到与晶片的圆形部分对准的第二物理阴极段。
第二物理阴极段可位于与晶片相同的电镀室中或与主电镀室离子连通的不同电镀室中的晶片下方、同一水平或上方。可使用所述段的任一布置,只要所述段与围绕晶片的不同方位角位置对准即可。段的数目可相依于对所述工艺的需要而变化。在一些实施例中,使用介于约2个到10个之间的段。
尽管如上文所述第二辅助阴极的多段式第二物理阴极特别适用于贴近晶片安置的1D HRVA,但此是既可独立地使用也可结合本文中所揭示的各种电镀设备特征使用的单独实施例。
用于电镀设备的电源
在某些实施例中,针对所述工件及所述一个或一个以上辅助阴极提供一个或一个以上电源。在一些情况下,针对每一辅助阴极及所述工件提供单独电源,此允许对到每一阴极的电力递送的灵活及独立控制。在图3中所描绘的实施例中,使用三个DC电源来控制到晶片314、到物理阴极340(与辅助阴极347相关联)及到物理阴极342(与第二辅助阴极348相关联)的电流流动。在图3B中,为清晰起见,展示仅两个电源,一个用于晶片314且一个用于物理阴极340。电源360具有通过(例如)一个或一个以上滑环、电刷及/或触点(未展示)电连接到晶片114的负输出引线362。电源360的正输出引线364电连接到位于分离阳极室304中的阳极306。类似地,电源370具有电连接到物理阴极340的负输出引线372及电连接到阳极306的正输出引线374。另一选择为,一个具有多个独立可控电插座的电源可用于提供不同电流电平到所述晶片及所述辅助阴极。电源360及370可连接到控制器378,所述控制器允许对提供到所述电镀设备的晶片及辅助阴极的电流及电位的独立控制。第二物理阴极(未展示于图3B中)以类似于物理阴极的方式连接到电源(未显示)。
在使用期间,电源360及370分别偏置晶片314及物理阴极340,以相对于阳极306具有负电位。电源360致使电流从阳极306流到晶片314,从而向所述晶片上电镀金属。电源370致使从阳极306流到晶片314的电流部分或大致分流到辅助阴极347。上文所述的电路也可包括将在不期望电流流动的反转时阻止此反转的一个或数个二极管(未展示)。可在电镀期间发生不期望的电流反馈,因为设定处于接地电位下的阳极306是晶片及辅助电路两者的共用元件。用于第二辅助阴极的电源以类似方式操作。
在用于辅助阴极及第二辅助阴极两者的单独电源的情形下,可动态地控制施加到所述阴极中的每一者的电流。当用金属电镀晶片时,薄层电阻降低且电流不均匀性可减小,从而使辅助阴极在实现某一金属厚度之后成为不必要的。可动态地控制施加到辅助阴极的电流以计及晶片的薄层电阻的减小及通常在不启动辅助电极的情况下产生的相关联更均匀电流分布。在一些实施例中,在晶片的薄层电阻降到经界定水平(例如约每平方1欧姆或低于每平方1欧姆)之后,不供应电流到所述辅助阴极。
对于低于约每平方1/2欧姆的薄层电阻,良好电镀均匀性可借助适当设计的HRVA来实现。因此,在低于此电阻值的情况下,EIRIS电流可基本上减小到接近零。更一般地说,如果所述电镀工艺以具有(举例来说)每平方100欧姆或以上的薄层电阻的膜开始,那么一旦薄层电阻降到每平方约20欧姆以下,更优选地或更广泛地当电阻降到每平方10欧姆以下时,可显著减小EIRIS电流。如上文所述,通常在低于每平方1/2欧姆的值下不需要EIRIS电流。如果正被电镀的膜为铜,那么此些薄层电阻大约对应于晶片上的小于
Figure BPA00001497921800191
(每平方100欧姆)、
Figure BPA00001497921800192
(每平方20欧姆)、(每平方10欧姆)及
Figure BPA00001497921800194
Figure BPA00001497921800195
(每平方0.5欧姆)的铜的厚度。
在又一实施例中,相依于施加到晶片的电流密度,且因此晶片薄层电阻的减小速率,在向晶片上电镀金属达(例如)约20秒或小于20秒的周期的设定持续时间,或在其它实施例中达约5秒或小于5秒的周期之后不供应电流或大致不供应电流到所述辅助阴极。
可简单地通过关断供应到每一阴极的电流来减小通到所述辅助阴极及/或第二辅助阴极的电流。所述电流也可恒定达某一时间周期并随后单调地降低,或者另一选择为从起始所述电镀工艺或从此后不久的时间开始单调地减小。供应到第二辅助阴极的电流也可以由辅助阴极电流驱动的方式加以动态地控制且以某一方式遵循(例如,使其成比例地匹配)辅助阴极电流。所述辅助电极电流中的一者或两者可与总晶片电流相关或者另外以与总晶片电流成比例的方式加以动态地操纵。供应到辅助(及/或第二辅助阴极)的电流也可以使用根据流过晶片、阳极或辅助阴极的电流计算出的算法及/或从流过晶片、阳极或辅助阴极的电流的时间移位(例如,延迟直到达到阈值触发电流电平的起始或从起始电镀以来的时间)的方式来加以动态地控制。供应到辅助阴极及第二辅助阴极的电流不需要以相同方式或以相同速率降低。也可用脉冲输送供应到晶片、阳极、辅助及次要电极中的任何一者的电流。所述脉冲可为具有对称或不同持续时间的接通及关断时间的简单电流接通/关断脉冲。另一选择为,可使用不同量值及持续时间的电流正向及反向脉冲。对供应到一个或一个以上辅助电极的电流的控制描述于颁发给尤佐(Uzoh)等人的美国专利6,168,693中,所述美国专利出于各种目的且以全部引用方式并入本文中。
在一个实施例中,辅助及次要阴极在同轴三通拆分之后沿其中的一者的线与电阻器连在一起,所述线来自用于同时激励两个阴极的单个电源。在其它实施例中,采用用于辅助阴极及第二辅助阴极两者的单独电源且其允许针对所述阴极中的每一者的在不同时间的不同电流电平。在具体实施例中,当最初供应电流到所述辅助阴极时,供应到所述辅助阴极与供应到所述衬底的电流的比率至少为约1∶2(即,总晶片电流的一半),且在进一步具体实施例中至少为约5∶1(即,总晶片电流的五倍)。供应到第二辅助阴极的电流通常为供应到晶片的电流的约10%(即,1∶10)。第二辅助阴极的电流电平更详细地描述于先前以引用方式并入的第12/291,356号美国申请案中。
下文描述电沉积工艺的一个可能电流-时间分布型的实例。当所述电沉积工艺最初针对300mm晶片开始时,可将5A电流供应到晶片,可将25A电流供应到辅助阴极,且可将0.5A电流供应到第二辅助阴极。在5秒时间周期流逝之后,使供应到辅助阴极的电流在下一个10秒时间周期内以线性方式从25安培斜降到0A,同时分别使恒定5A电流及0.5A电流保持供应到晶片及第二辅助阴极。在共计20秒流逝之后,关断(设定为零)通到次要阴极的电流。在此种情况下,在前5秒里,从阳极供应30.5安培。从5秒到15秒里,来自阳极的电流从30.5安培降低到5.5安培。在20秒之后,通到阳极的电流降到5安培且只剩下从阳极到晶片的电流。应理解,针对给定情形的最佳分布型相依于众多因数,例如最初晶片薄层电阻、电镀膜比电阻、电镀槽导电率、电镀浴液添加剂影响、电镀浴液的流动以及与物理单元设计相关联的其它因数,因此没有一种电流-时间分布型适用于所有情况。因此,最好以实验方式来确定或以数学方式(即,使用计算机模型)来估计最佳电流-时间分布型。
控制器378结合电源360及370允许对提供到所述电镀设备的晶片、辅助阴极及第二辅助阴极的电流及电位的独立控制。因此,控制器378能够控制电源360及370以产生上文所述的电流分布型。然而,所述控制器通常不能够独立地确定是否已满足上例述条件中的一者(如,薄层电阻达到每平方1欧姆或低于每平方1欧姆的水平),尽管可基于在任何给定时候通过引线362传递到晶片的已知总累积电荷量作出对薄层电阻的估计。因此,所述控制器可结合可确定是否已满足一条件的传感器使用。另一选择为,所述控制器可简单地用针对晶片、辅助阴极及第二辅助阴极中的每一者的单独电流对时间分布型编程。所述控制器也可测量供应到晶片、辅助阴极及第二辅助阴极的电荷(库仑=安培数*时间的积分),且使电流-时间分布型基于此些数据。
控制器378可经配置而以在向衬底上电镀经界定金属量之后或在电镀达经界定时间周期之后产生来自阳极的更均匀电流分布的方式来控制递送到辅助阴极的电力。控制器378也可经配置以控制递送到适于从所述衬底的边缘区域分流一部分离子电流的第二辅助阴极的电力。此外,控制器378可经配置以使递送到辅助阴极及第二辅助阴极的电力随着金属沉积于衬底上而各自以不同速率斜降。另外,控制器378可经配置以在衬底表面的薄层电阻达到约1/2欧姆/平方或小于1/2欧姆/平方或向衬底上电镀金属达约5秒或小于5秒的周期之后不供应电流或大致不供应电流到所述辅助阴极。
控制器378也可经配置以控制供应到辅助阴极及衬底的电流电平。在一个实施例中,当电流电镀开始时供应到辅助阴极及衬底的电流的比率至少为约1∶2。在另一实施例中,当电流电镀开始时供应到辅助阴极及衬底的电流比率至少为约5∶1。
物理屏障
图4中图解说明包含离子电阻性离子可渗透元件及第二辅助阴极的另一配置的特写视图。仅图解说明晶片314、第二辅助阴极342及离子电阻性元件311以保持清晰(即,排除通常存在于HRVA 311下方的元件)。在此配置中,第二辅助阴极位于靠近晶片处,但第二辅助阴极的精确定位有点灵活,特别是当晶片及/或晶片固持器与HRVA板之间的间隙为小且通过此些元件的位置组合形成虚拟阴极腔时。离子电阻性离子可渗透HRVA元件位于晶片对面且相隔紧密距离d1平行于晶片。在一个实施例中,此距离小于约5mm,或小于约3mm。在不同实施例中,所述距离不超过工件的圆形区域的直径的约5%(更优选地约2%)。
采用离子电阻性元件的配置的另一实施例展示于图5中。尽管主要辅助阴极未描绘于图4及图5中,但应理解,其可存在于HRVA下方的某一位置处。在此实施例中,静态绝缘屏障502驻存于所述电阻性元件的周界周围以进一步改进边缘-中心电镀均匀性。任选地,所述配置也包括第二辅助阴极342。所述屏障可直接驻存于电阻性元件311上或略高于电阻性元件311且可遮蔽所述电阻性元件的***上的贯通孔中的一些贯通孔。一般来说,沿横向(x方向),此屏障可完全在所述电阻性元件的***(在其周界外部),部分地在***(所述屏障的一部分在所述周界外部且一部分在所述周界内部,如所展示),或完全在所述元件的外边缘顶部上(完全在所述电阻性元件的周界内部)。垂直地(沿y方向),所述屏障驻存于晶片与电阻性元件之间,位于同一水平或下方。
当所述屏障驻存于HRVA上方时,其可用于使***间隙变小。此在使用第二辅助阴极时特别有利。通过使用不同屏障及同一HRVA,可独立地调制***间隙对HRVA到晶片间隙的相对大小。根据一些实施例,所述屏障的单独功能是遮蔽HRVA的一些孔,由此阻挡电流穿过那些孔。所述屏障可经配置(按其大小、位置、动态移动等)以改变开孔的数目及位置以便针对特定应用来调谐电流分布型。有利地,此些参数可通过使用同一HRVA并选择适合于特定应用的屏障来加以调制,例如,形成所期望***间隙、受阻挡孔的所期望图案等。改变屏障的横向范围或其它尺寸允许对HRVA***的更静态(厚膜)电镀均匀性的操纵,并最小化唯一(通常昂贵)HRVA设计及构造的数目,从而使得一个基本HRVA配置适于广泛的使用范围及电镀溶液(电镀金属、电镀添加剂、浴液导电率等)及最初金属化晶片特性(籽晶膜类型、成分及厚度)方面的变化。尽管在一些实施例中所述静态屏障为环(如图5中所图解说明),但在其它实施例中所述屏障可呈蝙蝠翼形状,或具有另一方位角不对称形状。
另外,其它屏障可在电镀室内定位于HRVA与阳极之间(例如,在晶片面朝下***中在HRVA下方)。所述屏障通常是用于对电流分布型进行塑形并改进电镀的均匀性的环状电介质***物,例如颁发给布劳德本特(Broadbent)的美国专利6,027,631中所述的环状电介质***物,所述美国专利出于各种目的且以整体引用方式并入本文中。可采用所属领域的技术人员已知的其它屏障设计及形状。
一般来说,所述屏障可呈现任一形状,包括楔形、条形、圆形、椭圆形及其它几何设计的形状。所述环形***物也可在其内径处具有图案,所述图案改进屏障以所期望方式对电流通量进行塑形的能力。所述屏障的功能可相依于其在电镀单元中的位置而不同。本发明的设备可包括所述静态屏障中的任一者,以及例如颁发给迈尔(Mayer)等人的美国专利6,402,923及颁发给坎特里尼(Contolini)等人的美国专利7,070,686中所述的可变场成形元件,所述两个美国专利以整体引用方式并入本文中。在一些实施例中,可代替EIRIS(以取得类似于EIRIS的结果),或者另一选择为,结合EIRIS使用置于HRVA下方的可变场成形元件。本发明实施例的设备也可包括例如颁发给伍德拉夫(Woodruff)等人的美国专利6,497,801中所述的分段阳极或例如颁发给迈尔(Mayer)等人的美国专利6,755,954及6,773,571中所述的同心阳极中的任一者,所有所述美国专利均以整体引用方式并入本文中。
上文所述的设备配置是对本发明实施例的图解说明。所属领域的技术人员将了解,可使用包括适当定位的辅助阴极及第二辅助阴极的替代电镀单元配置。尽管屏障***物适用于改进电镀均匀性,但在一些实施例中,可不需要屏障***物,或者可采用替代屏障配置。
四个不同电镀***配置的比较
图6A到图6D是包括具有籽晶层的衬底的四个不同电镀设备配置的横截面示意图,所述籽晶层具有大体高薄层电阻(例如,每平方1欧姆或更高,或在极高电阻的情况下,每平方10欧姆或更高)。恒定电位线(602)及电流流动线(604)图解说明于横截面示意图上。还展示所述电镀单元中的每一者中的电流密度对径向位置的代表性曲线图。
图6A图解说明不包括HRVA、辅助阴极或第二辅助阴极的电镀设备。电流密度结果代表在此配置中对每平方1欧姆晶片的电镀。如上文所解释,由于晶片的中心处的高离子电流电阻(C)及晶片的边缘处的低电阻(Rr及Rl),因此离子电流密度在中心处为低且在边缘处为高。此电流密度导致边缘厚的金属沉积分布型。
图6B图解说明包括HRVA,但不包括辅助阴极或第二辅助阴极的电镀设备。电流密度结果代表在此配置中对每平方1欧姆晶片的电镀。使用HRVA使电流密度基本对阳极到HRVA间隔及物理对电极大小不敏感,如下文进一步论述如电流密度分布型中所展示,使用HRVA提供跨越整个晶片的均匀得多的电流密度。然而,所述电流的某一小部分仍在HRVA边缘处泄漏,从而再次产生由虚线外部的径向区域中的电流增加所指示的边缘厚的沉积分布型。
图6C图解说明包括HRVA,但不包括辅助阴极或第二辅助阴极的电镀设备(即,与图6B相同)。然而,在此图中,电流密度结果是对具有例如每平方10欧姆或更高的电阻的籽晶晶片执行的代表性电镀。使用HRVA使电流密度基本对阳极到HRVA间隔及物理对电极大小不敏感,但电镀均匀性比在每平方1欧姆的晶片的情况下差得多。与在不采用HRVA的情况下相比较,使用HRVA提供跨越整个晶片的均匀得多的电流密度,但电镀均匀性变化仍很显著。类似于图6B,然而所述电流的某一小部分仍在HRVA边缘处泄漏,从而再次产生由虚线外部的径向区域中的进一步电流增加所指示的特别高的接近边缘厚度沉积分布型。
图6D图解说明包括HRVA、辅助阴极及第二辅助阴极的电镀设备,其中对具有例如每平方10欧姆或更高的电阻的籽晶晶片执行电镀。电流密度分布型可通过变化各种元件(包括物理对电极及电镀单元)的大小及各种元件(包括HRVA、辅助阴极及第二辅助阴极)的位置来加以修改。如上文所论述,也可动态地变化供应到晶片、辅助阴极及第二辅助阴极的电流。可控制HRVA的尺寸及供应到晶片、辅助阴极及第二辅助阴极的电流以产生跨越整个晶片的均匀电流密度(如电流密度曲线图中所展示),从而产生平坦金属沉积分布型,甚到当衬底薄层电阻很高时(通常在沉积的最初几秒期间)。
应理解,不仅可针对其薄层电阻与在图6A及图6B中相同(约每平方1欧姆或低于每平方1欧姆)的膜,而且可针对具有等于或大于图6C的膜的薄层电阻的薄层电阻(大于约每平方10欧姆)的膜实现借助图6D的设备获得的结果。可通过下述事实来了解本发明的方法及设备的一般效用:除对很高电阻晶片的均匀电镀之外,当不利用(激励)图6D的设备的辅助电极时,也可获得针对相对低薄层电阻晶片(小于约每平方1欧姆)观察到的均匀电流分布,如可从图6B的设备所获得,或在低薄层电阻(小于约每平方0.2欧姆)的情况下从图6A的设备所获得。因此,图6D的设备在对很高及很低两种薄层电阻晶片的均匀电镀方面具有一定范围的适用性,能够对可从图6A或图6B的设备获得的具有相对低薄层电阻的晶片以至少同样良好的均匀性电镀。
阳极的定位及形状
在有充当虚拟电流源的HRVA时,物理(金属)阳极(电镀室的正偏置构件)的定位可为相对不重要,或肯定不如在所述***中没有HRVA时重要。因此,尽管在一些实施例中实际阳极(正偏置电极)与晶片及电阻性元件对准且与晶片表面相对安置,但在其它实施例中,阳极不与晶片对准,因为其可相对于晶片移位到侧面、被置于单独室中或者可具有大致不同于晶片的尺寸的尺寸(例如,直径)。在一些实施例中,阳极呈圆盘形且与晶片对准。在其它实施例中,阳极可不对称地定位。在又一些实施例中,使用多个阳极。在又一些实施例中,阳极在具有绝缘室壁的辅助虚拟阳极室内部远离所述室或外壳定位。
工艺流程实施例
在图7的工艺流程图中图解说明在配备有HRVA、辅助阴极及第二辅助阴极的设备中的电镀方法的实例。在所描绘实施例中,所述工艺通过将晶片放置或接纳到所述电镀设备的晶片固持器中始于操作701中。可将所述晶片相对于浴液中的电解质平面放置呈水平定向或倾斜定向。
接下来,在操作703中,使晶片与阴极电解质接触并将其放置成水平且与HRVA平行,优选地处于HRVA的约5mm或小于5mm之内,其中所述距离是指浸没于电解质中的底部晶片表面与接近HRVA表面之间的距离。在将晶片定位于电解质中之后(或在浸没晶片的同时),在操作705中,供应电流到晶片以向籽晶/势垒层上电镀金属。通过控制晶片的电流及/或电位来提供此电流。可使用参考电极来控制晶片与参考电极之间的电位,所述参考电极优选地位于HRVA上方及晶片下方或侧面的区域中。在操作705中,也供应电流(通常,但不限于阴极电流)到辅助阴极及第二辅助阴极以对来自阳极的电流分布进行塑形以使得电流密度在晶片表面处相对平坦。与阴极电流相比较,来自所述两个电极中的任一者的阳极电流将趋于对电镀均匀性具有反效应,从而趋于增加边缘处或边缘附近的电镀量。晶片、辅助阴极及第二辅助阴极全部经由一个或一个以上电源(其各自具有一个或一个以上电源通道)连接到阳极。在特定实施例中,此些晶片、辅助阴极及第二辅助阴极中的每一者均个别地连接到位于阳极室内的同一单个阳极。在其它实施例中,各种辅助阴极可连接到相同阳极中的一些阳极、以及其它阴极或晶片未连接到其的一些阳极。
在操作707中,动态地控制供应到晶片、辅助阴极及第二辅助阴极的电流。减少供应到辅助阴极及任选地供应到第二辅助阴极的电流以使得在满足条件之后不供应电流或大致不供应电流到此些阴极。此些条件包括:例如,晶片的薄层电阻达到约每平方1欧姆或低于每平方1欧姆、向晶片上电镀至少约100埃的金属、或流逝的时间周期,如上文所论述。然后,在操作709中,向晶片上电镀金属直到达到所期望金属厚度为止。
注意,在一些实施例中,所述沉积可划分成填充与超覆阶段。通常,电流在超覆阶段期间显著增加,有时增加约5到10倍。举例来说,如果300毫米晶片在填充阶段期间接收约5A的电流,那么其可在超覆阶段期间接收约30到40A。可对在超覆沉积期间供应到辅助阴极及第二辅助阴极的电流进行进一步调整以有助于机电抛光。此些调整可包括形成由晶片经历的不均匀电流密度。
实验结果
图8A及图8B展示电镀有铜的具有每平方50欧姆的最初薄层电阻的晶片的电镀后薄层电阻及所计算膜厚度(使用已知薄膜厚度相依的比电阻及所测量薄层电阻)。线801是所电镀膜的最终薄层电阻,且线803是在根据本发明实施例的单元中处理的晶片的最终所计算所电镀膜厚度,所述单元具有位于阳极室中的阳极内及上方的高离子电阻膜(HRVA)及辅助电极两者。所采用的HRVA具有产生约5%的总板孔隙率的约9000个别直径为0.026”的1维孔。所述离子电阻性离子可渗透HRVA元件的厚度为12.7mm且晶片的前表面定位于距HRVA顶部表面3.5mm处。高度为20mm且厚度为约1mm的环形EIRIS辅助电极定位于所述阳极室的***腔内部。上述物理电极装纳于其内的***虚拟电极腔具有约10mm的深度(外表面/背表面上的电极)、20mm的平均高度及限制电流从物理电极到连接到平行于晶片平面的阳极室的虚拟电极口的流动的壁。虚拟电极“口”低于HRVA底部表面10与30mm之间。所述阳极定位在阳极室或腔的底部处低于HRVA底部表面115mm。
施加到晶片的总晶片电流、电流密度、电荷及电镀时间分别为2安培、2.8mA/cm2、28库仑及14秒。施加到辅助电极的电流为恒定10A,也达14秒。如从平坦、非径向相依的薄层电阻及膜厚度可见,沉积均匀铜膜。中心厚度为约
Figure BPA00001497921800251
且距边缘125mm处的厚度为约
Figure BPA00001497921800252
在超过约135mm的极边缘处,薄层电阻趋于极低且厚度变为相当大,因为电流从HRVA的边缘泄漏到达晶片边缘。然而,在此测试中不采用如上文所述位于晶片***及HRVA上方的次要阴极,且如果采用它,那么本来将有效减小此边缘电流,从而实现直到晶片的边缘的均匀电流分布。
在演示向此***添加EIRIS电极的价值的对比实验中,使晶片、离子电阻性离子可渗透HRVA元件及各种单元元件物理间隔的所有物理及工艺参数保持恒定,但不激励辅助电极(即,不施加电流)。曲线802及804是针对此情况的对应电镀后薄层电阻及所计算膜厚度,其展示跨越整个晶片表面的极不均匀电镀厚度。在此种情况下,中心厚度为约
Figure BPA00001497921800261
且125mm处的厚度超过
Figure BPA00001497921800262
在其中不采用辅助电极,或不结合HRVA采用辅助电极,及/或存在其它不利处理条件(例如,各种电流密度、例如导电率的浴液性质、或晶片薄层电阻)的一些情况下,在晶片的中心根本不发生电镀且发现中心厚度几乎为零,或晶片金属在中心甚制可腐蚀。此种现象可与其中电镀(i)无法克服成核活化能或电位以开始所述工艺,或(ii)是如此小以使得背景腐蚀在那里支配的非常大且未得到补偿的终端效应形成条件相关联。
图9是除每平方10欧姆较小电阻性的籽晶膜以外类似于图8A的关于离子电阻性离子可渗透HRVA元件对HRVA与IEIRIS辅助阴极组合***的比较。除如下文所述以外,此测试的物理布置及所施加电流类似于针对图8所述的物理布置及所施加电流。曲线901是在将2A电流施加到晶片且将4安培施加到辅助阴极均达14秒的情况下的结果。针对对应于曲线901的测试不采用次要阴极。如针对曲线901可见,类似于曲线801,薄层电阻沿着半径极平坦,除向外到超过约135mm的极边缘以外,其中薄层电阻与更厚膜及无次要阴极补偿相关联增加。曲线902是其中未使用或激励EIRIS辅助阴极(施加0安培),但在0.2A的电流下激励第二辅助阴极达14秒的比较实验。曲线902的薄层电阻在中心极高且跨越晶片不均匀。由于利用第二辅助阴极,因此边缘薄层电阻不继续降低。事实上,薄层电阻实际在边缘处增加,这是因为第二辅助阴极具有小于0.2A的所施加电流或所述电流施加达较短时间将是最佳情形的事实。
图10展示在与相对于图8所述相同但在此种情况下具有且采用离子电阻性离子可渗透HRVA元件、辅助及第二辅助阴极的单元配置中电镀的每平方5欧姆PVD溅镀铜播种晶片。最初薄层电阻分布型1001相当均匀。所述晶片在铜电镀浴液中于2A下电镀达28秒。在前14秒期间,将4安培的电流施加到辅助阴极且将0.2安培的电流施加到次要阴极。在后14秒里,不施加电流到辅助或次要电极。如可见,实现遍及整个表面的约每平方0.8欧姆的最终薄层电阻。
数值建模
为了进一步改进对***组件的交互的理解,执行使用商业软件FlexPDETM的有限元数值建模来比较各种电镀***(即,具有或不具有离子电阻性离子可渗透HRVA元件及具有或不具有辅助阴极)。用于所述模拟的HRVA物理性质与针对图8所述的HRVA物理性质相同。所述模拟的网格及布局展示于图11中。晶片1101位于HRVA 1103上方且与HRVA顶部表面分离间隙1104。所述晶片在晶片表面1108外部固持于绝缘固持器中。第二辅助阴极物理电极1109在由晶片固持器1108与位于HRVA***的材料所形成的间隙外部,但在此处所呈现的模拟结果中的任一者中未受激励。阳极1102驻存于所述单元的底部处。对于此模拟,阳极1102及含有物理辅助阴极1105的虚拟辅助阴极1106均驻存于阳离子上部与下部室分离隔膜1107下方。由于此层(即,所述隔膜)的比电阻接近于电解质的比电阻(优于电解质的比电阻的十分之一),且其如此薄(小于约0.5mm),因此其存在不明显改变***的总电阻或响应。然而,在实际操作中,隔膜上方的区域与隔膜下方的区域中的电解质可不同,且所述包含允许模型中的区域的不同导电率。为了简明起见,此处所展示的数据使用贯穿所述单元的相同电解质导电率。即,晶片到HRVA间隙中、在1维HRVA孔中,及在所述单元的其余部分中的导电率等于典型镀铜电解质(例如,10g/L硫酸、40g/L铜离子电镀溶液)的导电率,约6欧姆-1m-1
图12展示对具有参考图11所描绘及所论述的物理布局及属性的
Figure BPA00001497921800271
籽晶晶片上的最初电流密度的模拟的结果。另外,将晶片设定为接地电位(零伏),将阳极设定处于50V下,并将辅助物理电极上的电压设定为18V。曲线1201是当单元不具有HRVA及辅助电极电流(电极移离***,但虚拟电极腔继续存在)时的模拟结果。电流密度在中心到边缘不均匀。中心电流极低,且边缘电流极高,在中心与边缘之间具有单调过渡,在中心电流密度到125mm处的电流密度之间具有大于14倍的差异。曲线1202具有添加到***的HRVA,但没有辅助电极。所述曲线的形状大体类似于曲线1201,但中心电流明显更高,且边缘电流低得多。125mm处的电流此刻仅为中心电流密度的电流的约3倍。尽管如此,对于此高薄层电阻膜,此结果往往不能令人满意。
曲线1203展示在激励辅助阴极并从***移除HRVA的情况下所获得的结果。均匀性相对于仅HRVA***得到轻微改进,但失去曲线的单调形状。像在所有其它情况下一样,从中心到约40mm,分布相当平坦,但在此处,电流密度高于在曲线1201中,且略高于在1202中。然而,曲线1203具有从约60mm到110mm的半径的大约恒定电流密度第二区域的双平线区形状,之后超过此半径最终相当快速地增加。中心与125mm之间的总电流密度差大于2x,相对于曲线1202稍微改进。此结果指示甚到在也不使用HRVA的情况下使用阳极上方的辅助阴极室的显著益处。然而,呈双峰或具有非单调多个平线区分布的电流分布通常难以与后续金属移除过程(例如,CMP)相匹配并由其来处理,因而以曲线形状为代价的范围减小可不如简单地获得具有稍微更大范围的相对平滑单调分布型有利。
最后,曲线1204展示采用HRVA及辅助电极两者的情况。与实验数据一致,分布从晶片的中心到边缘一直是平坦的,变化小于3%。
结论
应理解,本文中所述的实例及实施例仅旨在图解说明的目的且所属领域的技术人员将联想到鉴于其的各种修改或改变。尽管为清楚起见已省略各种细节,但可实施各种设计替代方案。因此,本发明实例应视为说明性的而非限制性的,且本发明不仅限于本文中所给出的细节,而是可在随附权利要求书的范围内加以修改。此外,应理解,本申请案中所呈现的许多特征可单独地以及以彼此的任一合适组合形式时间,如所属领域的技术人员将理解。

Claims (39)

1.一种用于向衬底上电镀金属的设备,所述设备包含:
(a)电镀室,其经配置以在向所述衬底上电镀金属时含有电解质及阳极;
(b)衬底固持器,其经配置以固持所述衬底以使得所述衬底的电镀面在电镀期间定位于距所述阳极经界定距离处,所述衬底固持器具有经布置以在电镀期间接触所述衬底的边缘且将电流提供到所述衬底的一个或一个以上电力触点;
(c)离子电阻性离子可渗透元件,其经塑形及配置以在电镀期间定位于所述衬底与所述阳极之间,所述离子电阻性离子可渗透元件具有在电镀期间与所述衬底的电镀面大致平行且与其分离约5毫米或小于5毫米的间隙的平坦表面;及
(d)辅助阴极,其位于所述阳极与所述离子电阻性离子可渗透元件之间,且沿***经定向以在电镀期间给所述辅助阴极供应电流时对来自所述阳极的电流分布进行塑形。
2.根据权利要求1所述的设备,其中所述离子电阻性离子可渗透元件具有带有多个穿孔的离子电阻性主体,所述多个穿孔制作于所述主体中以使得所述穿孔不在所述主体内形成连通通道,其中所述穿孔允许经由所述元件输送离子,且其中大致所有穿孔均在所述元件的面向所述衬底的表面的所述表面上具有主尺寸或直径不大于约5毫米的开口。
3.根据权利要求1所述的设备,其中所述离子电阻性离子可渗透元件为具有介于约6,000个到12,000个之间的穿孔的圆盘。
4.根据权利要求1所述的设备,其中所述离子电阻性离子可渗透元件具有约5%多孔或小于5%多孔的孔隙率。
5.根据权利要求1所述的设备,其进一步包含第二辅助阴极,所述第二辅助阴极在电镀期间位于与所述衬底大致相同的平面中,且适于从所述衬底的边缘区域分流一部分离子电流。
6.根据权利要求5所述的设备,其中所述第二辅助阴极位于所述衬底固持器的***及从所述离子电阻性离子可渗透元件与所述衬底固持器之间的***间隙径向向外处。
7.根据权利要求1所述的设备,其中所述辅助阴极为具有装纳于所述电镀室中的腔中的相关联物理阴极的虚拟辅助阴极,其中所述腔与所述电镀室离子连通。
8.根据权利要求1所述的设备,其进一步包含第二离子电阻性离子可渗透元件,其中所述第二离子电阻性元件接近所述辅助阴极定位。
9.根据权利要求8所述的设备,其中所述第二离子电阻性离子可渗透元件具有带有多个穿孔的离子电阻性主体,所述多个穿孔制作于所述主体中以使得所述穿孔不在所述主体内形成连通通道,其中所述穿孔允许经由所述元件输送离子,且其中大致所有穿孔均在所述元件的面向所述电镀室的内部的表面上具有主尺寸或直径不大于约10毫米的开口。
10.根据权利要求8所述的设备,其中所述第二离子电阻性离子可渗透元件具有约5%多孔或小于5%多孔的孔隙率。
11.根据权利要求8所述的设备,其中所述第二离子电阻性离子可渗透元件的厚度小于约25mm。
12.根据权利要求1所述的设备,其进一步包含控制电路,所述控制电路经设计或配置而以如下方式控制递送到所述辅助阴极的电力:在已向所述衬底上电镀经界定量金属之后产生来自所述阳极的更均匀电流分布。
13.根据权利要求12所述的设备,其进一步包含适于从所述衬底的边缘区域分流一部分离子电流的第二辅助阴极,且其中所述控制电路经设计或配置以随着金属沉积于所述衬底上而使递送到所述辅助阴极及所述第二辅助阴极的电力各自以不同速率斜降。
14.根据权利要求1所述的设备,其进一步包含控制电路,所述控制电路经设计或配置而以如下方式控制递送到所述辅助阴极的电力:在电镀经界定时间周期之后在辅助阴极的位置处产生更均匀电流分布。
15.根据权利要求14所述的设备,其中所述控制电路经设计或配置以随着金属沉积于所述衬底上而使供应到所述辅助阴极及第二辅助阴极的电力各自以不同速率斜降,其中供应所述第二辅助阴极从所述衬底的边缘区域分流一部分离子电流。
16.根据权利要求14所述的设备,其中所述控制电路经设计或配置以在所述衬底表面的薄层电阻达到约1欧姆/平方或小于1欧姆/平方之后不供应电流或大致不供应电流到所述辅助阴极。
17.根据权利要求14所述的设备,其中所述控制电路经设计或配置以在向所述衬底上电镀金属达约5秒或小于5秒的周期之后不供应电流或大致不供应电流到所述辅助阴极。
18.根据权利要求14所述的设备,其中所述控制电路经设计或配置以在电流电镀开始时以至少约1∶2的比率供应电流到所述辅助阴极及所述衬底。
19.根据权利要求14所述的设备,其中所述控制电路经设计或配置以在电流电镀开始时以至少约5∶1的比率供应电流到所述辅助阴极及所述衬底。
20.一种向衬底上电镀金属层的方法,所述方法包含:
(a)将具有安置于其表面上的导电籽晶及/或势垒层的衬底固持于电镀设备的衬底固持器中,其中所述设备包含电镀室及阳极;
(b)将所述衬底的工作表面浸没于电解质溶液中且接近定位于所述工作表面与所述电镀室中所含有的所述阳极之间的离子电阻性离子可渗透元件,所述离子电阻性离子可渗透元件具有与所述衬底的工作面平行且与其分离约5毫米或小于5毫米的间隙的平坦表面;
(c)将电流供应到所述衬底以向所述籽晶及/或势垒层上电镀所述金属层;及
(d)将电流供应到位于所述阳极与所述离子电阻性离子可渗透元件之间的辅助阴极,以由此对来自所述阳极的电流分布进行塑形。
21.根据权利要求20所述的方法,其中所述离子电阻性离子可渗透元件具有多个非连通穿孔且其中所述电解质中的离子穿过所述穿孔。
22.根据权利要求21所述的方法,其中大致所有穿孔均在所述元件的面向工件的表面的表面上具有主尺寸或直径不大于约5毫米的开口。
23.根据权利要求20所述的方法,其中所述离子电阻性离子可渗透元件为具有介于约6,000个到12,000个之间的穿孔的圆盘。
24.根据权利要求20所述的方法,其中所述离子电阻性离子可渗透元件具有约5%多孔或小于约5%多孔的孔隙率。
25.根据权利要求20所述的方法,其中所述辅助阴极为具有装纳于所述电镀室中的腔中的相关联物理阴极的虚拟辅助阴极,其中所述腔与所述电镀室离子连通。
26.根据权利要求20所述的方法,其进一步包含动态地控制在电镀期间供应到所述辅助阴极的电流量以计及所述衬底的所述工作表面处的不均匀电流分布的减小。
27.根据权利要求26所述的方法,其进一步包含随着金属沉积于所述衬底上而使供应到所述辅助阴极及第二辅助阴极的电力各自以不同速率斜降,其中供应所述第二辅助阴极从所述衬底的边缘区域分流一部分离子电流。
28.根据权利要求26所述的方法,其中在所述衬底表面的薄层电阻达到约1欧姆/平方或小于1欧姆/平方之后不供应电流或大致不供应电流到所述辅助阴极。
29.根据权利要求26所述的方法,其中在于(c)中电镀至少约100埃的金属之后不供应电流或大致不供应电流到所述辅助阴极。
30.根据权利要求26所述的方法,其中在于(c)中电镀金属达约5秒或小于5秒的周期之后不供应电流或大致不供应电流到所述辅助阴极。
31.根据权利要求20所述的方法,其中当在(d)中最初将电流供应到所述辅助阴极时,供应到所述辅助阴极与供应到所述衬底的电流的比率为至少约1∶2。
32.根据权利要求20所述的方法,其中当在(d)中最初将电流供应到所述辅助阴极时,供应到所述辅助阴极与供应到所述衬底的电流的比率为至少约5∶1。
33.根据权利要求20所述的方法,其进一步包含将电流供应到位于与所述衬底大致相同的平面中的第二辅助阴极且由此从所述衬底的边缘区域分流一部分离子电流。
34.根据权利要求33所述的方法,其中所述第二辅助阴极位于所述衬底固持器的***及从所述离子电阻性离子可渗透元件与所述衬底固持器之间的***间隙径向向外处。
35.根据权利要求20所述的方法,其中所述电镀室包含接近所述辅助阴极定位的第二离子电阻性离子可渗透元件。
36.根据权利要求35所述的方法,其中所述第二离子电阻性离子可渗透元件具有带有多个穿孔的离子电阻性主体,所述多个穿孔制作于所述主体中以使得所述穿孔不在所述主体内形成连通通道,其中所述穿孔允许经由所述元件输送离子,且其中大致所有穿孔均在所述元件的面向所述电镀室的内部的表面上具有主尺寸或直径不大于约10毫米的开口。
37.根据权利要求35所述的方法,其中所述第二离子电阻性离子可渗透元件具有约5%多孔或小于5%多孔的孔隙率。
38.根据权利要求35所述的方法,其中所述第二离子电阻性离子可渗透元件的厚度小于约25mm。
39.根据权利要求20所述的方法,其中在于(c)中将电流供应到所述衬底之前所述衬底上的所述籽晶及/或势垒层具有约10欧姆/平方或大于10欧姆/平方的薄层电阻。
CN201080032109.3A 2009-06-09 2010-06-04 用于电镀的方法及设备 Active CN102459717B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/481,503 2009-06-09
US12/481,503 US8475636B2 (en) 2008-11-07 2009-06-09 Method and apparatus for electroplating
US12/606,030 2009-10-26
US12/606,030 US8475644B2 (en) 2000-03-27 2009-10-26 Method and apparatus for electroplating
PCT/US2010/037520 WO2010144330A2 (en) 2009-06-09 2010-06-04 Method and apparatus for electroplating

Publications (2)

Publication Number Publication Date
CN102459717A true CN102459717A (zh) 2012-05-16
CN102459717B CN102459717B (zh) 2014-12-10

Family

ID=43309419

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080032109.3A Active CN102459717B (zh) 2009-06-09 2010-06-04 用于电镀的方法及设备

Country Status (5)

Country Link
US (3) US8475636B2 (zh)
KR (1) KR101765346B1 (zh)
CN (1) CN102459717B (zh)
TW (1) TWI473914B (zh)
WO (1) WO2010144330A2 (zh)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103866374A (zh) * 2012-12-12 2014-06-18 诺发***公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN104131327A (zh) * 2013-05-01 2014-11-05 朗姆研究公司 各向异性高阻离子电流源(ahrics)
CN104241197A (zh) * 2013-06-11 2014-12-24 应用材料公司 在具有高薄层电阻的工件上的电化学沉积
CN105765111A (zh) * 2013-11-11 2016-07-13 东京毅力科创尼克斯公司 具有远距离阴极电解液流体管理的电化学沉积设备
US9464361B2 (en) 2010-07-02 2016-10-11 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI570279B (zh) * 2012-11-30 2017-02-11 應用材料股份有限公司 具有薄隔膜支撐件的電鍍處理器
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
TWI607118B (zh) * 2015-11-30 2017-12-01 台灣積體電路製造股份有限公司 用於電鍍槽的高電阻虛擬陽極、電鍍槽及處理基板表面的方法
US9899230B2 (en) 2013-05-29 2018-02-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
CN108118377A (zh) * 2017-12-27 2018-06-05 德淮半导体有限公司 降低晶圆空洞缺陷的设备以及方法
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
TWI711724B (zh) * 2018-11-30 2020-12-01 台灣積體電路製造股份有限公司 電化學鍍覆系統、執行電化學鍍覆製程的方法以及形成半導體結構的方法
CN112160003A (zh) * 2015-03-20 2021-01-01 朗姆研究公司 电镀装置中的电流密度的控制
US10920335B2 (en) 2008-11-07 2021-02-16 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US11230784B2 (en) 2018-11-30 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Electrochemical plating system and method of using
CN114502778A (zh) * 2019-10-04 2022-05-13 朗姆研究公司 用于防止唇形密封件镀出的晶片屏蔽
CN115135814A (zh) * 2021-09-28 2022-09-30 株式会社荏原制作所 镀覆装置
CN115335555A (zh) * 2021-03-10 2022-11-11 株式会社荏原制作所 镀覆装置、以及镀覆方法
WO2024022201A1 (zh) * 2022-07-28 2024-02-01 福州一策仪器有限公司 电镀装置、多通道电镀装置组和电镀反应***

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US9045840B2 (en) * 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US20140124361A1 (en) * 2008-11-07 2014-05-08 Lam Research Corporation Method and apparatus for filling interconnect structures
US20120261254A1 (en) * 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US9512538B2 (en) * 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9062388B2 (en) * 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
US9028657B2 (en) 2010-09-10 2015-05-12 Novellus Systems, Inc. Front referenced anode
GB201019615D0 (en) * 2010-11-18 2010-12-29 Metalysis Ltd Electrolysis apparatus and method
US20120199475A1 (en) * 2011-02-08 2012-08-09 Mchugh Paul R Processing apparatus with vertical liquid agitation
CN108330518B (zh) * 2011-04-15 2020-06-12 诺发***有限公司 用于填充互连结构的方法及设备
US8496790B2 (en) * 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US9062952B2 (en) * 2011-08-08 2015-06-23 Lawrence Livermore National Security, Llc Methods and systems for electrophoretic deposition of energetic materials and compositions thereof
DE102011084027A1 (de) 2011-10-05 2013-04-11 Maquet Cardiopulmonary Ag Schnellkupplungsvorrichtung
SG195480A1 (en) * 2012-05-14 2013-12-30 Novellus Systems Inc Cross flow manifold for electroplating apparatus
US9909228B2 (en) * 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
AT514042B1 (de) * 2012-12-12 2015-12-15 Lam Res Ag Steigerung der Hydrodynamik eines Elektrolyten für den effizienten Massentransfer während einer elektrolytischen Abscheidung
KR102148535B1 (ko) * 2013-01-07 2020-08-27 노벨러스 시스템즈, 인코포레이티드 전기도금을 위한 기판들의 전류 램핑 및 전류 펄싱 진입
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9518334B2 (en) 2013-03-11 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Electro-plating and apparatus for performing the same
US9476135B2 (en) * 2013-03-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Electro chemical plating process
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9312140B2 (en) 2014-05-19 2016-04-12 International Business Machines Corporation Semiconductor structures having low resistance paths throughout a wafer
JP6029771B2 (ja) * 2014-11-13 2016-11-24 新電元工業株式会社 半導体装置の製造方法及びガラス被膜形成装置
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9469911B2 (en) 2015-01-21 2016-10-18 Applied Materials, Inc. Electroplating apparatus with membrane tube shield
US9567685B2 (en) * 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US20160222537A1 (en) 2015-01-30 2016-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Electroplating apparatus and method
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP6344269B2 (ja) * 2015-03-06 2018-06-20 豊田合成株式会社 めっき方法
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US9765443B2 (en) 2015-09-02 2017-09-19 Applied Materials, Inc. Electroplating processor with current thief electrode
WO2017120003A1 (en) * 2016-01-06 2017-07-13 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition
US10480094B2 (en) * 2016-07-13 2019-11-19 Iontra LLC Electrochemical methods, devices and compositions
CN108315792A (zh) * 2017-01-16 2018-07-24 中芯国际集成电路制造(上海)有限公司 一种晶圆电镀方法及电镀装置
KR102568350B1 (ko) * 2017-11-01 2023-08-21 램 리써치 코포레이션 전기화학적 도금 장치 상에서 도금 전해질 농도 제어
US10494731B2 (en) * 2017-12-11 2019-12-03 Applied Materials, Inc. Electroplating dynamic edge control
JP6942072B2 (ja) * 2018-02-22 2021-09-29 株式会社荏原製作所 めっき装置
JP7182911B2 (ja) * 2018-06-21 2022-12-05 株式会社荏原製作所 めっき装置、及びめっき方法
US10975489B2 (en) 2018-11-30 2021-04-13 Lam Research Corporation One-piece anode for tuning electroplating at an edge of a substrate
JP7256708B2 (ja) * 2019-07-09 2023-04-12 株式会社荏原製作所 めっき装置
US11268208B2 (en) * 2020-05-08 2022-03-08 Applied Materials, Inc. Electroplating system
TWI746231B (zh) 2020-10-27 2021-11-11 財團法人工業技術研究院 重布線結構及其形成方法
US11508563B1 (en) 2021-05-24 2022-11-22 Applied Materials, Inc. Methods and apparatus for processing a substrate using improved shield configurations

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US20020017456A1 (en) * 1996-07-15 2002-02-14 Graham Lyndon W. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
JP2003268591A (ja) * 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
CN1624207A (zh) * 1999-12-24 2005-06-08 株式会社荏原制作所 基片的电镀装置和电镀方法以及电解处理方法及其装置
KR100707121B1 (ko) * 1999-04-13 2007-04-16 세미툴 인코포레이티드 마이크로전자 피가공물을 전기화학적으로 처리하기 위한 장치 및 마이크로전자 피가공물 상에 재료를 전기도금하기 위한 방법

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US3880725A (en) 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
JPS5657836A (en) 1979-10-16 1981-05-20 Asahi Chem Ind Co Ltd Porous hydrophilic polyolefin resin membrane and its preparation
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4389297A (en) 1980-10-09 1983-06-21 Ppg Industries, Inc. Permionic membrane electrolytic cell
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298U (ja) 1983-04-15 1984-10-30 篠塚 調一郎 人工枝、支柱等の連結具
US4549005A (en) 1984-10-09 1985-10-22 Ashland Oil, Inc. Cured polymeric material prepared by reacting an azadioxabicyclooctane with a polyisocyanate in the presence of moisture
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
DE3870685D1 (de) 1987-02-23 1992-06-11 Siemens Ag Galvanisiereinrichtung zur erzeugung von hoeckern auf chip-bauelementen.
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5035784A (en) 1987-07-27 1991-07-30 Wisconsin Alumni Research Foundation Degradation of organic chemicals with titanium ceramic membranes
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US4988417A (en) 1988-12-29 1991-01-29 Aluminum Company Of America Production of lithium by direct electrolysis of lithium carbonate
US5169684A (en) 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
DE4107200A1 (de) 1991-03-06 1992-09-10 Siemens Ag Verfahren und anlage zur thermischen abfallbehandlung
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
US5312532A (en) 1993-01-15 1994-05-17 International Business Machines Corporation Multi-compartment eletroplating system
US5312352A (en) 1993-01-19 1994-05-17 Datascope Investment Corp. Bubble-free connector for liquid carrying tubing
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5332487A (en) 1993-04-22 1994-07-26 Digital Equipment Corporation Method and plating apparatus
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP2590700B2 (ja) 1993-09-16 1997-03-12 日本電気株式会社 投影露光装置
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
JP3088262B2 (ja) 1995-02-10 2000-09-18 エイ・ティ・アンド・ティ・コーポレーション 低歪差動増幅回路
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6599412B1 (en) 1997-09-30 2003-07-29 Semitool, Inc. In-situ cleaning processes for semiconductor electroplating electrodes
DE19651318A1 (de) * 1996-12-11 1998-06-18 Asea Brown Boveri Axialturbine eines Turboladers
US6174425B1 (en) 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
JP3491669B2 (ja) * 1997-07-11 2004-01-26 理化学研究所 光代謝制御
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6843894B2 (en) 1997-12-18 2005-01-18 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6168693B1 (en) 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
TWI223678B (en) * 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6071388A (en) 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6716334B1 (en) 1998-06-10 2004-04-06 Novellus Systems, Inc Electroplating process chamber and method with pre-wetting and rinsing capability
US6143155A (en) 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6514393B1 (en) 2000-04-04 2003-02-04 Novellus Systems, Inc. Adjustable flange for plating and electropolishing thickness profile control
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6692588B1 (en) 1999-07-12 2004-02-17 Nutool, Inc. Method and apparatus for simultaneously cleaning and annealing a workpiece
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6855037B2 (en) 2001-03-12 2005-02-15 Asm-Nutool, Inc. Method of sealing wafer backside for full-face electrochemical plating
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
US6746578B2 (en) 2001-05-31 2004-06-08 International Business Machines Corporation Selective shield/material flow mechanism
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US6773570B2 (en) 2002-11-14 2004-08-10 International Business Machines Corporation Integrated plating and planarization process and apparatus therefor
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
TWI355676B (en) 2003-10-21 2012-01-01 Semitool Inc System for processing a workpiece
CN100487855C (zh) * 2003-10-21 2009-05-13 塞米特公司 用于处理工件的***
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
TW200633038A (en) 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US20070238265A1 (en) 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
USD533104S1 (en) 2005-12-21 2006-12-05 Nelson Jewellery Arts Company Ltd. Jewelry setting
USD548452S1 (en) * 2006-03-15 2007-08-14 Eveline Oelzant Golf cart umbrella
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) * 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US20140124361A1 (en) 2008-11-07 2014-05-08 Lam Research Corporation Method and apparatus for filling interconnect structures
US8475637B2 (en) 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US20110226613A1 (en) 2010-03-19 2011-09-22 Robert Rash Electrolyte loop with pressure regulation for separated anode chamber of electroplating system
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
USD658249S1 (en) * 2011-08-26 2012-04-24 Sri Sports Limited Golf club head
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US20140231245A1 (en) 2013-02-18 2014-08-21 Globalfoundries Inc. Adjustable current shield for electroplating processes
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US20020017456A1 (en) * 1996-07-15 2002-02-14 Graham Lyndon W. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
KR100707121B1 (ko) * 1999-04-13 2007-04-16 세미툴 인코포레이티드 마이크로전자 피가공물을 전기화학적으로 처리하기 위한 장치 및 마이크로전자 피가공물 상에 재료를 전기도금하기 위한 방법
CN1624207A (zh) * 1999-12-24 2005-06-08 株式会社荏原制作所 基片的电镀装置和电镀方法以及电解处理方法及其装置
JP2003268591A (ja) * 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10920335B2 (en) 2008-11-07 2021-02-16 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US11549192B2 (en) 2008-11-07 2023-01-10 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US9464361B2 (en) 2010-07-02 2016-10-11 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
TWI570279B (zh) * 2012-11-30 2017-02-11 應用材料股份有限公司 具有薄隔膜支撐件的電鍍處理器
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN103866374B (zh) * 2012-12-12 2017-06-06 诺发***公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN106947997A (zh) * 2012-12-12 2017-07-14 诺发***公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN103866374A (zh) * 2012-12-12 2014-06-18 诺发***公司 电镀过程中用于高效传质的电解液流体动力的增强装置
TWI640661B (zh) * 2012-12-12 2018-11-11 諾發系統有限公司 用於電鍍期間之有效率質量傳送的電解液流體動力學之增強
CN106947997B (zh) * 2012-12-12 2019-08-27 诺发***公司 电镀过程中用于高效传质的电解液流体动力的增强装置
US9834852B2 (en) 2012-12-12 2017-12-05 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
CN104131327B (zh) * 2013-05-01 2017-10-17 朗姆研究公司 各向异性高阻离子电流源(ahrics)
CN104131327A (zh) * 2013-05-01 2014-11-05 朗姆研究公司 各向异性高阻离子电流源(ahrics)
US10301739B2 (en) 2013-05-01 2019-05-28 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9899230B2 (en) 2013-05-29 2018-02-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
CN104241197A (zh) * 2013-06-11 2014-12-24 应用材料公司 在具有高薄层电阻的工件上的电化学沉积
CN105765111A (zh) * 2013-11-11 2016-07-13 东京毅力科创尼克斯公司 具有远距离阴极电解液流体管理的电化学沉积设备
CN105765111B (zh) * 2013-11-11 2018-01-16 东京毅力科创尼克斯公司 具有远距离阴极电解液流体管理的电化学沉积设备
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
CN112160003B (zh) * 2015-03-20 2024-04-23 朗姆研究公司 电镀装置中的电流密度的控制
CN112160003A (zh) * 2015-03-20 2021-01-01 朗姆研究公司 电镀装置中的电流密度的控制
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10923340B2 (en) 2015-05-14 2021-02-16 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10167567B2 (en) 2015-11-30 2019-01-01 Taiwan Semiconductor Manufacturing Company Limited High resistance virtual anode for electroplating cell
US11608566B2 (en) 2015-11-30 2023-03-21 Taiwan Semiconductor Manufacturing Company Limited High resistance virtual anode for electroplating cell
TWI607118B (zh) * 2015-11-30 2017-12-01 台灣積體電路製造股份有限公司 用於電鍍槽的高電阻虛擬陽極、電鍍槽及處理基板表面的方法
US10697084B2 (en) 2015-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Limited High resistance virtual anode for electroplating cell
US11047059B2 (en) 2016-05-24 2021-06-29 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN108118377A (zh) * 2017-12-27 2018-06-05 德淮半导体有限公司 降低晶圆空洞缺陷的设备以及方法
TWI711724B (zh) * 2018-11-30 2020-12-01 台灣積體電路製造股份有限公司 電化學鍍覆系統、執行電化學鍍覆製程的方法以及形成半導體結構的方法
US11230784B2 (en) 2018-11-30 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Electrochemical plating system and method of using
US11598016B2 (en) 2018-11-30 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Electrochemical plating system and method of using
CN114502778A (zh) * 2019-10-04 2022-05-13 朗姆研究公司 用于防止唇形密封件镀出的晶片屏蔽
CN115335555A (zh) * 2021-03-10 2022-11-11 株式会社荏原制作所 镀覆装置、以及镀覆方法
CN115335555B (zh) * 2021-03-10 2023-09-19 株式会社荏原制作所 镀覆装置、以及镀覆方法
CN115135814A (zh) * 2021-09-28 2022-09-30 株式会社荏原制作所 镀覆装置
WO2024022201A1 (zh) * 2022-07-28 2024-02-01 福州一策仪器有限公司 电镀装置、多通道电镀装置组和电镀反应***

Also Published As

Publication number Publication date
CN102459717B (zh) 2014-12-10
US20100044236A1 (en) 2010-02-25
TWI473914B (zh) 2015-02-21
US20130327650A1 (en) 2013-12-12
KR20120029468A (ko) 2012-03-26
US8475644B2 (en) 2013-07-02
US8475636B2 (en) 2013-07-02
WO2010144330A2 (en) 2010-12-16
TW201107536A (en) 2011-03-01
US20100116672A1 (en) 2010-05-13
WO2010144330A3 (en) 2011-03-03
KR101765346B1 (ko) 2017-08-04
US9309604B2 (en) 2016-04-12

Similar Documents

Publication Publication Date Title
CN102459717B (zh) 用于电镀的方法及设备
CN101736376B (zh) 用于电镀的方法和设备
US6802946B2 (en) Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6143155A (en) Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6132586A (en) Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US7854828B2 (en) Method and apparatus for electroplating including remotely positioned second cathode
TW425665B (en) Method and apparatus for planarization of metallized semiconductor wafers using a bipolar electrode assembly
US20050145489A1 (en) Electroetching process and system
US7578923B2 (en) Electropolishing system and process
KR20010014062A (ko) 기판상에 전기도금하는 전기화학적인 증착 시스템 및 방법
WO2006091937A2 (en) Auxiliary electrode encased in cation exchange membrane tube for electroplating cell
CN104131327A (zh) 各向异性高阻离子电流源(ahrics)
US7981259B2 (en) Electrolytic capacitor for electric field modulation
US8147660B1 (en) Semiconductive counter electrode for electrolytic current distribution control
CN103109365A (zh) 微观特征中的种子层沉积
TWI551729B (zh) 用以控制電鍍槽中之電流密度的具有複數可切換的導電元件之不溶性陽極
JP5915602B2 (ja) 金属皮膜の成膜装置および成膜方法
US20050284751A1 (en) Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US20090045067A1 (en) Apparatus and method for processing a substrate
US20090288954A1 (en) Device suitable for electrochemically processing an object as well as a method for manufacturing such a device, a method for electrochemically processing an object, using such a device, as well as an object formed by using such a method
CN102732925A (zh) 用于填充互连结构的方法及设备
US20090020437A1 (en) Method and system for controlled material removal by electrochemical polishing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant