CN102365718B - 减少损坏的低k电介质刻蚀的方法 - Google Patents

减少损坏的低k电介质刻蚀的方法 Download PDF

Info

Publication number
CN102365718B
CN102365718B CN201080017817.XA CN201080017817A CN102365718B CN 102365718 B CN102365718 B CN 102365718B CN 201080017817 A CN201080017817 A CN 201080017817A CN 102365718 B CN102365718 B CN 102365718B
Authority
CN
China
Prior art keywords
dielectric
low
etch
layer
fluorocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080017817.XA
Other languages
English (en)
Other versions
CN102365718A (zh
Inventor
兵·纪
竹下健二
安德鲁·D·贝利三世
埃里克·A·赫德森
里亚姆·莫拉维茨
史蒂芬·M·施瑞德
高贞民
丹尼尔·乐
罗伯特·C·赫夫提
程宇
赫拉尔多·A·德尔加迪尼奥
毕-明·殷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102365718A publication Critical patent/CN102365718A/zh
Application granted granted Critical
Publication of CN102365718B publication Critical patent/CN102365718B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施方式提供了将特征刻蚀进设于有机掩膜下方的低k介电层的方法。穿过所述有机掩膜将特征刻蚀进所述低k介电层。在所述低k介电层上淀积碳氟化合物层。固化所述碳氟化合物层。剥除所述有机掩膜。

Description

减少损坏的低k电介质刻蚀的方法
技术领域
本发明涉及使用等离子体刻蚀穿过由有机掩膜定义的介电层而在半导体晶片上形成结构的方法。
背景技术
在半导体等离子体刻蚀应用中,等离子体刻蚀器通常用来将有机掩膜图案(如光刻胶掩膜图案)转移到硅晶片上期望的薄膜和/或层叠(半导体或介电绝缘体)的电路以及线路图案中。这是通过在掩膜图案的开口区域刻蚀掉光刻胶材料下方的薄膜(和层叠)完成的。该刻蚀反应由化学反应物质和通过激发含在真空封壳中反应剂混合物的放电产生的带电粒子(离子)引起,这个真空封壳也被称为反应器室。此外,离子穿过气体混合物与晶片材料之间产生的电场,朝着晶片材料加速,产生了刻蚀材料沿着离子轨迹方向的定向去除,这种方法被称为各向异性刻蚀。在该刻蚀按次序结束,通过剥除掩膜材料将其去除,在剥除掩膜的地方留下了最初期望的掩膜图案的横移(lateral)模式的复制品。
发明内容
为完成前述内容并且根据本发明的目的,提供了一种在设于有机掩膜下方的低k介电层中形成刻蚀特征的方法。穿过所述有机掩膜将特征刻蚀进所述低k介电层。淀积碳氟化合物层在所述低k介电层上。随后,固化所述碳氟化合物层,之后剥除所述有机掩膜。
本发明的另一方面,提供了在设于光刻胶掩膜下方的带有有机成分的二氧化硅基低k介电层上刻蚀特征的方法。放置所述二氧化硅基低k介电层进入等离子体处理腔。穿过所述光刻胶掩膜将特征刻蚀进所述二氧化硅基低k介电层,同时在所述等离子体处理腔中于所述二氧化硅基低k介电层上淀积碳氟化合物层。在所述等离子体处理腔中固化所述碳氟化合物层。在所述等离子体处理腔中剥除所述光刻胶掩膜。
在本发明的另一方面,提供了在设于有机掩膜下方的低k介电层形成特征的装置。所提供的等离子体处理腔包括形成等离子体处理腔封壳的腔壁、在等离子体处理腔封壳中支撑衬底的衬底托、调节等离子体处理腔封壳中压强的调压器、至少一个为维持等离子体而给等离子体处理腔封壳提供功率的电极、提供气体进入等离子体腔封壳的气体入口和从等离子体处理腔封壳排出气体的气体出口。气体源与所述气体入口流体连通并包括碳氟化合物淀积气体源、刻蚀气体源和剥除气体源。控制器以可控方式连接于所述气体源和所述至少一个电极,并包括至少一个处理器和计算机可读介质。所述计算机可读介质包括:用于穿过所述有机掩膜将所述特征刻蚀进所述低k介电层的计算机可读代码,该计算机可读代码包括用于将所述刻蚀气体源中的刻蚀气体提供至所述等离子体处理腔内的计算机可读代码与用于从所述至少一个电极提供能量以使所述刻蚀气体形成等离子体从而将特征刻蚀进所述低k介电层的计算机可读代码;用于在所述低k介电层上淀积碳氟化合物层的计算机可读代码,该计算机可读代码包括用于将所述碳氟化合物淀积气体源中的碳氟化合物淀积气体提供至所述等离子体处理腔内的计算机可读代码与用于从所述至少一个电极提供能量以让所述碳氟化合物淀积气体形成淀积等离子体从而在所述低k介电层上淀积碳氟化合物层的计算机可读代码;用于固化所述碳氟化合物层的计算机可读代码;以及用于剥除所述有机掩膜的计算机可读代码,该计算机可读代码包括用于将所述剥除气体源中的剥除气体提供至所述等离子体处理腔内的计算机可读代码与用于从所述至少一个电极提供能量以使所述剥除气体形成等离子体从而剥除所述光刻胶掩膜的计算机可读代码。
下面在本发明的具体实施方式中结合附图对本发明的这些和其他特征做更详细的描述。
附图说明
在附图中,本发明通过示例进行说明,而不是进行限制,附图中相同的参考标号指代相似的元件,其中:
图1是本发明的刻蚀过程流程图。
图2A-D是使用本发明过程的特征形成的示意图。
图3是可用于本发明实施的***的示意图。
图4A-B是可用于本发明实施的计算机***的示意图。
具体实施方式
现在将参考附图示出的若干优选实施方式详细描述本发明。在下面的描述中,阐述很多具体细节以便提供对本发明的透彻理解。然而明显地,对本领域技术人员来说,没有这些特定细节中的一些或全部也可以实施本发明。在其他情况下,公知的流程步骤和/或结构没有详细描述,以免不必要地模糊本发明。
在半导体先孔后沟(VFTL)双大马士革(DD)工艺中,在刻蚀和光刻胶剥除工艺过程中,加入有机成分以提供更低介电常数的二氧化硅基低介电常数(低k)材料暴露于各种反应剂。该暴露的低k电介质材料经常被刻蚀/剥除等离子体和化学制品损坏。一般而言,低k损坏包括材料组分(例如,碳消耗)、形态(密度或孔隙度)和/或表面性质(例如,憎水到亲水)的变化。该受损坏的层不再具备所预期的介电属性,并会导致设备产率损失和/或可靠性失效。因此减少低k电介质刻蚀/剥除中的损坏成为半导体工艺中最关键的挑战之一。不像初始的(未损坏的)低k材料,该受损的层能够被稀释的氟化氢(HF)溶液容易地去除掉。刻蚀和剥除之后量化低k损坏通常的做法是将样品浸入稀释的氟化氢溶液后测量材料的损失。
为减少低k电介质刻蚀和剥除工艺中的损坏人们已经做了努力。现有技术方法主要是在刻蚀和剥除工艺的优化方面,如通过优化工艺化学组成与反应、硬件配置和/或等离子体源(例如RF对微波)等。这些现有的努力只产生了有限的成功。随着介电常数(k值)持续减小,以及该材料孔变得更多、关键尺寸变得更小,在大多数先进集成电路工艺中损坏变成了更严重的问题。
另一种现有技术方法是在低k电介质材料刻蚀和剥除之后修补该受损的层。虽然这种方法能修补低k电介质材料中的某些损坏,但其需要独立且不同的工具组件。因此其增加了制造成本并降低了产量。
通过在等离子体剥除之前固化淀积的碳氟化合物层,本发明的一个实施方式提供了低k电介质双大马士革工艺中有效减少或阻止损坏的方法,该方法在后续处理中能有效阻止(即减少)低k损坏。意外发现,通过在刻蚀步骤和剥除步骤之间***碳氟化合物层的固化,碳氟化合物层暴露的表面层能被控制或修改,以致在后续剥除步骤中碳氟化合物层暴露的表面层对等离子体和/或化学侵蚀有远远大得多的抵抗力。
图1是本发明一个实施方式的高级流程图。在该实施方式中,图案化的有机掩膜形成于低k介电层上方(步骤104)。图2A是衬底210的剖面示意图,在衬底210上方配置了低k介电层208,在低k介电层208上方形成了图案化的有机掩膜204。一个或多个中间层可设在衬底(晶片)210和低k介电层208之间。一个或多个中间层(如抗反射涂层)可设在低k介电层208和图案化的有机掩膜204之间。
将衬底210放置在等离子体处理腔内(步骤106)。图3是可以用于本发明优选实施方式的等离子体处理腔300的示意图。在该实施方式中,等离子体处理腔300包括限制环302、上电极304、下电极308、气体源310和排气泵320。气体源310包括碳氟化合物气体源312、刻蚀气体源314和剥除气体源316。在等离子体处理腔300中,衬底210位于下电极308上面。下电极308包含用于托持衬底210的合适的衬底夹持机构(例如,静电夹持、机械夹持等)。反应器顶部328包含正对下电极308的上电极304。上电极304、下电极308和限制环302限定了受限等离子体容积腔340。气体由气体源310通过气体入口343供应到受限等离子体容积腔,并由排气泵320通过限制环302和排气口排出受限等离子体容积腔。排气泵320为等离子体处理腔形成了气体出口。第一射频电源344电连接于上电极304。第二射频电源348电连接于下电极308。腔壁352限定了等离子体封壳,等离子体封壳中配置了限制环302、上电极304和下电极308。第一射频电源344和第二射频电源348两者都可包括60MHz功率源、27MHz功率源和2MHz功率源。可以有不同的将射频功率连接到电极的组合。加利福尼亚州弗里蒙特市的朗姆研究公司TM制造的Flex3x介电刻蚀***可以用于本发明优选实施方式。将控制器335以可控方式连接到第一射频源344、第二射频源348、排气泵320、连接到碳氟化合物气体源312的第一控制阀337、连接到刻蚀气体源314的第二控制阀339和连接到剥除气体源314的第三控制阀341。气体入口343从气体源312、314、316提供气体进入等离子体处理封壳。可以将喷头连接到气体入口343。气体入口343可以是所有气体源只有一个入口,或每个气体源有不同的入口,或每个气体源有多个入口,或者是其他可能的组合。
图4A和4B示出了适合当控制器335使用的计算机***400。图4A示出了可用于控制器335的计算机***的一种可能的物理形式。当然,计算机***可以具有从集成电路、印刷电路板和小型手持设备到巨型超级计算机的范围内的许多物理形式。计算机***400包括监控器402、显示器404、机箱406、磁盘驱动器408、键盘410和鼠标412。磁盘414是用来与计算机***400相互传入和传出数据的计算机可读介质。
图4B是计算机***400的框图的例子。各种各样的子***连接到***总线420。将处理器422(也称为中央处理单元或CPU)连接到包括存储器424的存储装置。存储器424包括随机访问存储器(RAM)和只读存储器(ROM)。如本领域公知的那样,ROM用作向CPU单向传输数据和指令,而RAM通常用来以双向方式传输数据和指令。这两种存储器可以包括以下描述的任何合适的计算机可读介质。固定磁盘426也是双向耦合到CPU422;它提供额外的数据存储能力并也可以包括以下描述的任何计算机可读介质。固定磁盘426可以用于存储程序、数据等,并往往是慢于主存储器的辅助存储介质(如硬盘)。可以理解的是,保留在固定磁盘426里的信息可以在适当的情况下作为虚拟存储器以标准的方式结合在存储器424中。可移动磁盘414可以采用以下描述的任何计算机可读介质的形式。
CPU422还耦合到各种输入/输出装置,例如显示器404、键盘410、鼠标412和扬声器430。通常,输入/输出装置可以是下面的任何:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸显示器、转换器读卡器、磁带或纸带阅读器、书写板、触针、语音或手写识别器、生物测量读取器或其他计算机。CPU422任选地可以使用网络接口440耦合到另外的计算机或通信网络。利用这样的网络接口,预期在执行上述方法步骤的过程中,CPU可以从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可在CPU422上单独执行,或者可在如Internet之类的网络上与共享该处理一部分的远程CPU一起执行。
此外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,在计算机可读介质上有用于执行各种计算机实现操作的计算机代码。介质和计算机代码可以为了本发明的目的专门设计和构建,或者是对于计算机软件领域的技术人员来说公知并可得的计算机代码。有形的计算机可读介质的例子包括但不限于:磁介质,诸如硬盘、软盘和磁带;光介质,诸如CD-ROM和全息装置;磁-光介质,诸如光软盘;以及为储存和执行程序代码专门配置的硬件装置,诸如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM器件。计算机代码的例子包括诸如编译器生成的机器代码,以及包含高级代码的文件,该高级代码由计算机使用解释器来执行。计算机可读介质还可以是加载在载波中由计算机数据信号传输的计算机代码并且表现为可由处理器执行的指令序列。
等离子体处理腔300用于通过图案化有机掩膜204将特征刻蚀进低k介电层208(步骤108)。将碳氟化合物层淀积在低k介电层208上(步骤110)。在优选实施方式中,刻蚀特征(步骤108)和碳氟化合物层的淀积(步骤110)是同步执行的。更优选地,这些同步的步骤可选地施加在刻蚀特征相对于水平面的侧壁上。图2B是衬底210的剖面示意图,衬底210上淀积了低k介电层208,在刻蚀特征212进低k介电层208(步骤108)之后并在碳氟化合物层218淀积在低k介电层208带有特征212的侧壁上(步骤110)之后,在低k介电层208上形成了图案化的有机掩膜204。优选淀积如图2B所示,特征侧壁上淀积了更多而在水平面上几乎没有。在一个实施方式中,碳氟化合物层为纯的碳氟化合物。在其他实施方式中,碳氟化合物层有额外的添加物,诸如氢、氮或硫。
然后将碳氟化合物层固化(步骤112)。图2C的剖面示意图中碳氟化合物层带有阴影以表示碳氟化合物层是经过固化的。
接着将有机掩膜剥除(步骤114)。图2D是剥除有机掩膜之后的剖面示意图。在此实施方式中,碳氟化合物层218被完全剥除,如图2D所示。在另一实施方式中,碳氟化合物层没有被完全剥除。
实施例:
真空破坏下
以下实施例在朗姆研究公司300mm介电刻蚀反应器(Flex3x)中实施。经过刻蚀和剥除流程进行了三个低介电常数的比较。T-1是现有技术基准工艺,其中晶片以常用方法被刻蚀和剥除。在T-2中,在刻蚀步骤和剥除步骤之间***10分钟的固化步骤。固化步骤包括从刻蚀反应器去除晶片、将晶片暴露在周围条件和固化碳氟化合物聚合物膜以促进交联。接着在相同的介电刻蚀腔中重新进行剥除工序。在T-3中,除了促进聚合物交联的持续时间为60小时外,应用了相似的固化步骤。接着通过剖面扫描电子显微镜(XSEM)检查完成的晶片。为了量化低k损坏,XSEM检查实施于处理过的样品(不经过HF浸泡)和经过100∶1HF溶液浸泡45秒的样品。为解释空穴到空穴CD(关键尺寸)变化,在刻蚀测试后引入了统计方法分析以确定低k损坏。表1总结了测试结果。
表1通过刻蚀和剥除后的低k损坏的减少
在表1中,顶部关键尺寸和中部关键尺寸分别是顶部位置和中部位置的通孔尺寸。弯曲(bow)关键尺寸被定义为中部关键尺寸与顶部关键尺寸的差值。损坏被定义为在HF浸泡前后中部关键尺寸的差值。如表1所示,通过在刻蚀和剥除步骤之间***固化步骤(T-2和T-3),与基准(T-1)工艺相比损坏显著减少了。T-3中更长时间的固化步骤几乎完全消除了损坏。该方法额外的好处是在HF浸泡后弯曲关键尺寸也显著减小,如表1所示。
通过仔细检查各种样品的XSEM图像也证实了该结果。当钻蚀区(undercut area)正好在顶部的硬掩膜下面时,低k损坏是明显可见的。这样的钻蚀在T-2中显著地减少,并在T-3中完全消除。已经发现在该实施例中减少了弯曲和钻蚀。
在该实施例中特征的直径在40nm到50nm之间、深度在200nm到250nm之间。这些特征形成于介电常数小于等于2.4的超低k电介质中。低k电介质被定义为其介电常数小于3.9。
在该实施例中,用压强为120mTorr,功率为由电极提供的20MHz、100瓦特和27MHz、1700瓦特的80sccm CF4、160sccmCO和180sccm AR主刻蚀持续17秒。用压强为20mTorr,功率为由同一电极提供的2MHz、500瓦特,27MHz、1000瓦特和60MHz、300瓦特的100sccm CO、6sccm C4F8、90sccm N2和200sccm Ar,过蚀刻持续22秒。在过刻蚀期间使用C4F8导致了碳氟化合物的淀积。作为替代的C4F6可以优选地用于提供碳氟化合物的淀积。作为其他更广义的替代,CXHYFZ可以用于提供碳氟化合物的淀积。在主刻蚀中CF4的使用也可以加入碳氟化合物的淀积。碳氟化合物的淀积可以有来自刻蚀光刻胶的氢成分。剥除工艺使用的气体是1000sccm CO2,其压强为50mTorr,功率为27MHz、1200瓦特,持续时间为30秒。在该实施例中不用O2而用CO2以提供侵蚀性较小的剥除。其他实施方式可以使用O2剥除,或者使用CO2和O2组合物剥除,或者其他各种组合物剥除。
真空维持下
使用以上参数进行测试,该测试对比了真空破坏下60小时固化时间和真空维持下60小时固化时间的损坏。在一项测试中发现真空破坏下的损坏为6.2±2.0,真空维持下的损坏为8.0±2.2。虽然真空维持下的损坏与真空破坏下的损坏在统计学上是大约相等的,并小于不经固化步骤的损坏,但从统计学上可以证实真空破坏下的损坏小于真空维持时的损坏。虽然破坏真空可以提供更小的损坏,但为了简化工艺维持真空是值得要的,因为在固化前后都要使用真空。
硅烷化固化
在另一个实施方式中,在淀积和剥除之间提供了硅烷化处理的固化。在一个实施例中,通过提供总压强为150Torr,组分为59%的有机硅烷、35%的NH3和6%的N2的气体提供该硅烷化并持续15分钟。通过该固化工艺发现损坏减少了。
在一个实施方式中,通过选择工艺化学组分及方法在等离子体反应器中刻蚀低k材料,不仅完成了期望的图案转移,而且淀积了碳氟化合物薄层(如碳氟化合物聚合物)到暴露的低k表面,而且进一步提供用合适聚合物淀积修改刻蚀工艺以使弯曲(轮廓)控制最佳化并减少损坏。
不同的实施方式提供了固化碳氟化合物层的不同方法,例如提供在环境条件下进行规定时间长度的碳氟化合物固化,或通过紫外线、热和/或等离子体激活或者化学引发、通过化学反应的各种固化(交联和不饱和键移除)等增强聚合物交联;或者通过使用各种表面活性剂,诸如水(水汽)和/或加入自由基引发剂以约束(终止)表面自由不饱和键和/或交联不饱和键来增强固化;或者通过增加反应剂以增强碳氟化合物表面从亲水性到憎水性的转换;或者通过使用将低k电介质表面中暴露的开孔封闭的固化工艺;或者通过淀积合适厚度的密实的低k材料以抵制剥离并留下好的弯曲轮廓和控制损坏的固化工艺。
在一个实施方式中,进行固化的时间长度大于5分钟。在一个更优选的实施方式中,进行固化的时间长度大于10分钟。在一个更优选的实施方式中,进行固化的时间长度大于24小时。固化可以在真空下进行。更优选地,固化可以在真空破坏下进行。
本发明的一些实施方式提供的某些优点是通过刻蚀和剥除工艺优化减少损坏和弯曲。这些优点提供了更宽的处理窗以优化刻蚀步骤和剥除步骤从而控制关键尺寸和提高产量。某些实施方式在先于剥除步骤防止损坏发生从而减少损坏。这些实施方式更有效,并能在最适条件下得到几乎没有损坏的结果。某些实施方式在刻蚀步骤和剥除步骤能使用相同的电介质刻蚀腔。在这些实施方式中,相同的电极(例如上电极308)可在刻蚀、淀积和剥除工艺中使用。某些实施方式在刻蚀和剥除工艺后不要求使用独立的低k修理模块。
在各个实施方式中,固化硬化了碳氟化合物层以使碳氟化合物层对剥除更耐久。
尽管已经依照多个优选实施方式对本发明进行了描述,但是还存在落在本发明范围内的改变、置换和各种替代等同物。还应当注意,有许多实现本发明方法和设备的可选方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。

Claims (17)

1.将特征刻蚀进设于有机掩膜下方的低k介电层的方法,包括:
穿过所述有机掩膜将所述特征刻蚀进所述低k介电层;
在所述低k介电层上淀积碳氟化合物层;
固化所述碳氟化合物层;以及
剥除所述有机掩膜。
2.根据权利要求1所述的方法,其中所述低k电介质是具有有机成分的二氧化硅基电介质。
3.根据权利要求1或2所述的方法,其中所述有机掩膜是光刻胶掩膜。
4.根据权利要求3所述的方法,其中所述固化进行至少5分钟。
5.根据权利要求4所述的方法,其中所述刻蚀、淀积、固化和剥除在单个等离子体处理腔中进行。
6.根据权利要求5所述的方法,其中在所述单个等离子体处理腔中的公用电极用于刻蚀和剥除。
7.根据权利要求1或2所述的方法,其中所述固化进行至少10分钟。
8.根据权利要求1或2所述的方法,其中所述固化进行至少24小时。
9.根据权利要求1或2所述的方法,其中所述碳氟化合物层的成分包括氢、氮或硫。
10.根据权利要求1或2所述的方法,其中C4F8或C4F6中的至少一种被用于提供所述碳氟化合物淀积。
11.根据权利要求1或2所述的方法,其中所述固化在真空维持下进行。
12.根据权利要求1或2所述的方法,其中所述剥除使用CO2占多数比例的剥除气体。
13.根据权利要求1或2所述的方法,其中所述有机掩膜是光刻胶掩膜。
14.根据权利要求1或2所述的方法,其中所述固化进行至少5分钟。
15.根据权利要求1或2所述的方法,其中所述刻蚀、淀积、固化和剥除在单个等离子体处理腔中进行。
16.根据权利要求15所述的方法,其中在所述单个等离子体处理腔中的公用电极用于刻蚀和剥除。
17.将特征刻蚀进设于光刻胶掩膜下方的带有有机成分的二氧化硅基低k介电层的方法,其包括:
提供所述二氧化硅基低k介电层进入等离子体处理腔;
穿过所述光刻胶掩膜将特征刻蚀进所述二氧化硅基低k介电层,同时在所述等离子体处理腔中淀积碳氟化合物层于所述二氧化硅基低k介电层上;
在所述等离子体处理腔中固化所述碳氟化合物层;以及
在所述等离子体处理腔中剥除所述光刻胶掩膜。
CN201080017817.XA 2009-04-09 2010-04-05 减少损坏的低k电介质刻蚀的方法 Active CN102365718B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16811509P 2009-04-09 2009-04-09
US61/168,115 2009-04-09
PCT/US2010/030008 WO2010117964A2 (en) 2009-04-09 2010-04-05 Method for low-k dielectric etch with reduced damage

Publications (2)

Publication Number Publication Date
CN102365718A CN102365718A (zh) 2012-02-29
CN102365718B true CN102365718B (zh) 2015-03-18

Family

ID=42934742

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080017817.XA Active CN102365718B (zh) 2009-04-09 2010-04-05 减少损坏的低k电介质刻蚀的方法

Country Status (6)

Country Link
US (1) US8236188B2 (zh)
KR (2) KR20120009443A (zh)
CN (1) CN102365718B (zh)
SG (1) SG174500A1 (zh)
TW (1) TWI489549B (zh)
WO (1) WO2010117964A2 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
CN1529905A (zh) * 2001-03-08 2004-09-15 东京毅力科创株式会社 有机绝缘膜的蚀刻方法和双波纹处理方法
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
TW420848B (en) * 1999-04-01 2001-02-01 Shr Min Method of producing dual damascene structure
TW471132B (en) * 2001-02-05 2002-01-01 United Microelectronics Corp Manufacturing method for dual damascene structure
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7314828B2 (en) 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
KR20090012443A (ko) * 2007-07-30 2009-02-04 주식회사 하이닉스반도체 반도체 소자의 제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
CN1529905A (zh) * 2001-03-08 2004-09-15 东京毅力科创株式会社 有机绝缘膜的蚀刻方法和双波纹处理方法
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics

Also Published As

Publication number Publication date
KR20120009443A (ko) 2012-01-31
US8236188B2 (en) 2012-08-07
TW201104751A (en) 2011-02-01
KR20170048609A (ko) 2017-05-08
US20100261352A1 (en) 2010-10-14
TWI489549B (zh) 2015-06-21
WO2010117964A3 (en) 2011-01-13
WO2010117964A2 (en) 2010-10-14
CN102365718A (zh) 2012-02-29
SG174500A1 (en) 2011-10-28

Similar Documents

Publication Publication Date Title
CN103258729B (zh) 硅结构的制造和带有形貌控制的深硅蚀刻
TWI774742B (zh) 矽氮化物之原子層蝕刻
US7309646B1 (en) De-fluoridation process
US7981699B2 (en) Method for tunably repairing low-k dielectric damage
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US8394722B2 (en) Bi-layer, tri-layer mask CD control
US20110117749A1 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
EP1697984A2 (en) Method of preventing damage to porous low-k materials during resist stripping
US20110097904A1 (en) Method for repairing low-k dielectric damage
CN105390390A (zh) 无限选择性的光刻胶掩膜蚀刻
WO2006020344A1 (en) Method for stripping photoresist from etched wafer
CN101882580B (zh) 具有减少的低k介电损伤的剥除
CN101393842A (zh) 沟槽的形成方法
WO2009099812A2 (en) Reducing damage to low-k materials during photoresist stripping
WO2005088693A1 (en) Line edge roughness control
CN102365718B (zh) 减少损坏的低k电介质刻蚀的方法
US8361564B2 (en) Protective layer for implant photoresist
US8828744B2 (en) Method for etching with controlled wiggling
US20110223770A1 (en) Nitride plasma etch with highly tunable selectivity to oxide

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant