CN102233306B - 利用高速火焰喷涂涂覆基底的设备 - Google Patents

利用高速火焰喷涂涂覆基底的设备 Download PDF

Info

Publication number
CN102233306B
CN102233306B CN201110108355.9A CN201110108355A CN102233306B CN 102233306 B CN102233306 B CN 102233306B CN 201110108355 A CN201110108355 A CN 201110108355A CN 102233306 B CN102233306 B CN 102233306B
Authority
CN
China
Prior art keywords
equipment
nozzle body
fuel
matrix
nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201110108355.9A
Other languages
English (en)
Other versions
CN102233306A (zh
Inventor
S.凯勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AMT AG
Original Assignee
AMT AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMT AG filed Critical AMT AG
Publication of CN102233306A publication Critical patent/CN102233306A/zh
Application granted granted Critical
Publication of CN102233306B publication Critical patent/CN102233306B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/20Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed by flame or combustion
    • B05B7/208Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed by flame or combustion the material to be sprayed being heated in a container
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/20Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed by flame or combustion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B7/00Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas
    • B05B7/16Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed
    • B05B7/20Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed by flame or combustion
    • B05B7/201Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed by flame or combustion downstream of the nozzle
    • B05B7/205Spraying apparatus for discharge of liquids or other fluent materials from two or more sources, e.g. of liquid and air, of powder and gas incorporating means for heating or cooling the material to be sprayed by flame or combustion downstream of the nozzle the material to be sprayed being originally a particulate material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/129Flame spraying

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Combustion & Propulsion (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Nozzles (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Gas Burners (AREA)

Abstract

本发明提出了一种利用高速火焰喷涂涂覆基底的设备。该设备包括燃烧室(4)、供应液体或气体燃料的第一燃料添加器(L1)以及供应氧化气体的至少一个气体添加器。该设备还包括供应液体或气体燃料的第二燃料添加器以及供应气体的至少一个另外的气体添加器。第一气体添加器和两个燃料添加器(L1)都通向公共燃烧室(4)。此外,设置有装置(24),用于供应到两个燃料添加器(L1)中的燃料供应的独立控制。

Description

利用高速火焰喷涂涂覆基底的设备
技术领域
本发明涉及如权利要求1的前序部分所述的利用高速火焰喷涂涂覆基底的设备。
背景技术
讨论的这个类型的设备在很多不同实施例中是已知的并且被应用于很多不同的目的。例如,它们被用来表面涂覆大量各种基底以使它们抵抗温度和/或磨损和/或磨耗和/或化学侵蚀。
由气体供应燃料的设备、以及由液体燃料供应燃料的设备是从现有技术已知的,普通设备通常具有用于燃料的至少一个连接和用于氧化气体的另一个连接。特别是液体供应燃料的设备可能具有用于压缩空气的又一个连接。然而,所有这些已知设备都存在它们的应用范围受限的不足。
在德国专利DE4429142A1中描述了用于高速火焰喷涂粉末状材料的头部。该火焰喷涂头部可以同时被供应两种燃料(柴油/燃料油和燃料气体),主燃料是具有超过0.5%重量的碳化含量的柴油/燃料油。为了实现主燃料燃烧的尽可能清洁,允许燃料油的预蒸发并且因此允许非碳化燃烧,而沿着气流的方向在实际主火焰的上游侧产生蒸发火焰。因此为了实现主燃料的没有残渣的清洁燃烧,两种燃料总是需要同时供应。
欧洲专利EP0458018A2描述了一种HVOF燃烧器,包括用单独的燃料供应的一次燃烧室和二次燃烧室。一次燃烧室用来熔化喷涂材料,其然后在随后的二次燃烧室内被超声加速,使得它最终从燃烧器以高动能喷射。因此该燃烧器也总是要求两种气体燃料同时被供应。
最后,US专利4375954A公开了一种用气体和油的混合物供应燃料的燃烧器。该燃烧器具有环状预热室,在其中首先利用燃烧气体加热油,之后加热的油由中心喷嘴喷入到燃烧室中用于燃烧。但是,这个实例中涉及的燃烧器不是设计用于表面涂覆基底,它只不过是常规的燃烧器。
发明内容
本发明的目的是改进从权利要求1的前序部分读到的设备,使得它通过能够在各种操作模式中操作发现普遍的应用。
为此目的,根据本发明提供了一种如权利要求1所述的设备。
该设备的优选实施例从从属权利要求2到15阅读到。
在一个优选实施例中,提出另外的燃料添加器的出口孔设置在与至少一个出口孔同轴的圆周上,并中心地通向燃烧室。这样的实施例现在总是使得,即当用这种燃料或其他燃料以及两种燃料供应燃料时,能够产生同质的中心燃烧火焰。
在该设备的另一个优选实施例中,提出至少一个气体添加器的出口孔设置在与出口孔同轴的圆周上并且中心地通向燃烧室。这种结构一方面促进同质的燃烧火焰,另一方面促进无残渣的燃烧。
在又一个优选实施例中,该设备包括以能更换的方式***在该设备的连接体中的邻接燃烧室的喷嘴体,喷嘴体具有出口孔,并且两个燃料添加器和第一气体添加器连接到喷嘴体,使得操作该设备所需的介质能够经由喷嘴体的列出的出口孔供应至燃烧室。该结构的优点是喷嘴体是能够更换的,以便同时更新所有出口孔。这是尤其重要的,因为每个体部暴露于所列出的出口孔的区域中的极高应力,导致高度的磨损以及导致材料损耗和材料蓄积在出口孔区域中,所有这些当然是令人讨厌的不利的燃烧器性能。
附图说明
现在将参考附图通过优选示例实施例详细描述本发明,其中:
图1为从利用高速火焰喷涂涂覆基底的设备的从后部观察的视图;
图2为通过沿着图1的线A-A剖开的设备的剖面;
图3为通过沿着图1的线B-B剖开的设备的剖面;
图4为通过沿着图1的线C-C剖开的设备的剖面;
图5为通过沿着图1的线D-D剖开的设备的剖面;
图6a为从喷嘴体的前部观察的视图;
图6b为通过沿着图6a的线A-A剖开的喷嘴体的剖面;
图6c为通过沿着图6a的线B-B剖开的喷嘴体的剖面。
附图标记说明
1基体
2连接器体
3中空体
4燃烧室
5管状喷嘴
6出口
7喷嘴体
8环状体
9环状突起
10穿通孔
11穿通孔
12
13中心出口孔
14第一(前)环形管
15轴孔
16外孔圆周
17
18第二(后)环形管
19轴孔
20内孔圆周
21后螺旋帽
22前螺旋帽
23
24流量控制器(煤油)
25流量控制器(O2
26流量控制器(02可选的)
27流量控制器(N2
28流量控制器(H2
29
A1煤油(第一燃料添加器)
A2氧气O2
A3氧气02可选的
A4氮气N2
A5氢气(另外的燃料添加器)
A6水进入
A7水流出
A8粉末
A9粉末
A10压力
A11点火。
具体实施方式
现在参考图1,在从后部观察的视图中示出了一种利用高速火焰喷涂涂覆基底的设备。该设备大体上包括实际的燃烧器以及供应要被熔化和涂覆的涂覆材料的装置。从如图1所示的图示中显然的是设备的后部具有多个连接器,用于供应操作燃烧器所需的介质,以及用于连接压力传感器和另外用于连接点火器。可以理解连接器的数量和布置可以变化。在本示例中,连接器A1到A9提供用于供应介质,即,A1液体燃料、A2氧气、A3氧气,可选的、A4氮气、A5气体燃料、A6冷却水进入、A7冷却水流出、A8粉末、A9粉末。当然可以理解,可以取代上述列出的介质,而经由连接器A1到A7供应其他液体或气体介质。连接器A10提供用于点火器,而连接器A11提供用于列出的压力传感器。
现在参考图2,示出沿着图1的线A-A剖开的纵向剖面的设备的简要视图。因为普通设备的基本结构和操作是已知的,因此下面没有详细描述其全部元件。这种设备专业地称为高速氧燃料(HVOF)燃烧器或枪。
该设备包括基体1,其后部具有连接器体2。在基体1内设置有内部形成实际燃烧室4的中空体3。中空体3的管状出口连接到终止在设备的出口6内的管状喷嘴5。在连接器体2的面向燃烧室4的一侧的中心***有喷嘴体7。喷嘴体7以能够更换的方式安装在连接器体2中,其通过环状体8被轴向地定位。为此目的,环状体8具有轴向地接触喷嘴体7的环状突起9。环状体8继而与中空体3的一个肩部轴向接触。环状体8具有两个轴向穿通孔10、11,每个穿通孔在连接器体2中机加工而成并通向相应的添加器L10、L11。
为了将连接器体2固定到基体1并且为了轴向地定位和设置例如喷嘴体7和环状体8等其它元件,而在基体1处设置螺旋帽21,其内螺纹设计为与连接器体2的外螺纹啮合,并且当拧紧时轴向拉动连接器体2抵靠于基体1。在基体1的自由端处设置有另一个螺旋帽22,通过该螺旋帽22沿着连接器体22的方向朝向中空体3和环状体8推动管状喷嘴5。在任何情况下,因为如图所示地设置有两个螺旋帽21、22,因此该设备被快速且简单地装配和拆卸。这样尤其是有利的,因为任何遭受磨损和磨耗的零件,例如中空体3、管状喷嘴5或喷嘴体7能够被快速且简单地更新。因此,简单地通过松开螺旋帽21,能够将连接器体21与基体1分离,用于当可能需要更换时取下喷嘴体7。
显然,添加器从每个连接器引导到连接器体2的内部。燃料添加器L1从燃料连接器A1穿过连接器体2的中心引导到喷嘴体7,后者用来将操作燃烧器所需的介质供应到燃烧室4中。现在将通过图6a-图6c更详细地描述喷嘴体7。为了控制燃料添加器L1中的燃料供应而设置流量控制器24,如图所示的那样,一方面允许调节每单位时间供应到燃烧室4的燃料流量,另一方面也用来开启和关闭相应的燃料添加器L1。
关于连接到相应冷却水连接器A6、A7的添加器L6、L7没有给出详细描述,因为用来冷却承受高热应力的部件的这样的冷却水添加器是已知的。连接器A10通过轴向添加器L10连接到燃烧室。连接器A10用来连接压力传感器(未示出),利用该压力传感器能够测量存在于燃烧室4中的压力。添加器L11同样从连接器A11沿轴向穿过连接器体2引导到燃烧室4中。该添加器L11用来包括点燃燃烧室4中的燃料混合物的点火器(未示出)。添加器L8、L9从两个粉末连接器A8、A9的每个以一定角度引导到所述设备中。这两个粉末添加器L8、L9相对于该设备的纵向中心线基本上径向地通向管状喷嘴5。粉末添加器L8、L9用来供应涂覆粉末,该涂覆粉末当进入管状喷嘴5时由热气流携带,并且由于周围的温度而至少部分熔化。可以理解,也可以代替供应粉末状涂覆材料,例如以线材的形式来供应。
现在参考图3,示出通过沿着图1的线B-B剖开的设备的纵向剖面的设备,使得添加器L5如何从连接器A5以一定角度引导穿过连接器体2到达喷嘴体7的第一(前)环形管14尤其清楚。另一个添加器L3从连接器A3以一定角度引导穿过连接器体2到达喷嘴体7的第一环形管14。同时添加器L3用来可选地供应氧化气体,例如像氧气,第二燃料优选地燃料气体可以经由添加器L5供应到燃烧室4。在任何情况下,两个燃料添加器都通向公共燃烧室4。
为了控制经由添加器L5的燃料供应,而设置流量控制器28,用来既开启和关闭相应燃料添加器L5又调节每单位时间的燃料流量。为了控制添加器L3中的氧化气体的供应而设置控制器26,其根据需要可以足以被设计成用于供应氧化气体的ON/OFF开关。经由添加器L3供应氧化气体通常仅在燃烧器用燃料操作时才执行,即在第一燃料优选地煤油经由添加器L1中心地供应时才执行。
现在参考图4,示出通过沿着图1的线C-C剖开的设备的纵向剖面的设备,其示出了添加器L2如何从连接器A2引导到喷嘴体7的第二(后)环形管18。添加器L2用来将氧化气体优选地氧气供应到燃烧室中,以便除了两个燃料添加器外,供应氧化气体的添加器L2也通向公共燃烧室4。为了控制气体供应而设置流量控制器25。
现在参考图5,示出通过沿着图1的线D-D剖开的设备的纵向剖面的设备,使得连接器A4如何经由添加器以一定角度连接到喷嘴体7的前环形管14明显。添加器L4优选地用来当由流量控制器27控制时供应惰性气体,尤其是氮气。
因此,总之,将建立的是,连接器A3、A4和A5通过三个添加器L3、L4、L5连接到喷嘴体7的环形管14,同时连接器A2经由添加器L2引导到第二环形管18。在介质经由连接到前环形管14的三个添加器L3、L4、L5的至少两个供应的情况下,这些介质在环形管14中混合。
图6a、图6b和图6c用来更详细地解释喷嘴体7的结构。现在参考图6a,示出了在从燃烧室侧观察时的视图中的喷嘴体7,同时图6b是通过沿着图6a的线A-A剖开的喷嘴体的纵向剖面,以及图6c是通过沿着图6a的线B-B剖开的喷嘴体的纵向剖面。
从图6b显然可知轴孔19是如何从第二(后)环状管18引导到喷嘴体7的前表面的。这些孔19形成朝向燃烧室第一组出口孔19A的一侧,介质可以经由出口孔19A供应至燃烧室。
现在参考图6c,另外的轴孔15如何从前环状管14引导到喷嘴体7的前表面是明显的,它们形成朝向燃烧室第二组出口孔15A的一侧。
再次参考图6a,显然与第二(后)环状管18连接的这组孔19均匀地分布在内圆周20上,同时与第一(前)环状管14连接的这组孔15均匀地分布在外圆周16上。两个圆周16、20都设置为与喷嘴体7的中心出口孔13同轴。喷嘴体7的中心出口孔13用来安装将液体燃料注射到燃烧室中的注射器喷嘴或阀(未示出)。为此目的,喷嘴体7具有用来固定一个这样的注射器的内螺纹。因为这样的注射器是已知的,所以下面没有详细描述它们。
这样的设备的基本优点使其在应用中很通用。因此,燃烧器可以例如用两种燃料同时供应燃料,通过经由喷嘴体7—注射器中心地供应至燃烧室4的第一种燃料,例如煤油,同时通过经由例如喷嘴体7的孔的外圆周或内圆周的孔15、19供应至燃烧室4的另一种燃料,例如氢气。此外,可以根据需要相应地将任何数量的其他介质经由两个连接器A3、A4供应至燃烧室4。因此,例如可以经由连接器A2和/或A3供应氧气等氧化气体。在氧气经由连接器A3供应的情况下,它在前环形管14中与经由连接器A4和/或A5供应的介质混合。例如,可以经由连接器A4供应惰性气体,例如像氮气,使得燃烧室内温度下降,专业术语称为冷气体供应。将孔15、19或出口孔15A、19A设置在圆周上具有各种介质可以同时中心地供应到燃烧室的优点,从而使该设备特别适于熔化粗糙粉末以及适于涂覆厚的涂层并且产生粗糙表面,因为每单位时间对燃烧器供应两种燃料使得能够获得非常高的温度和/或涂层粉末的高熔化速率和/或非常高的气体速度。
当然,虽然可以理解,燃烧器也可以仅被供应单一的燃料,从一种燃料到另一种燃料的连续或不连续的过渡也是可能的,因为可以在两个燃料添加器的每个中设置单独的流量控制器。这种设备现在使得能够例如用一个燃料,优选地煤油涂覆基本涂层,通过供应另一种燃料或两种燃料由另一种涂层盖顶。这个以前必须使用两个这种不同的设备。
根据操作模式,可以证明使气体介质经由喷嘴体7的内孔圆周和/或外孔圆周的孔15、19流入到燃烧室中是有利的,从而防止碎片蓄积在孔15、19中和/或燃烧室气体进入其中。
根据期望的操作模式,喷嘴体7用来供应一种或两种燃料或燃料混合物以及一种或更多种氧化气体以及可能需要的任何其他气体。
当然可以理解,燃烧器也可以仅用单一的燃料来操作,液体和气体燃料总是可能的,例如可以应用煤油作为液体燃料,同时可以应用氢气、天然气、丙烯、丙烷或乙烯。可以理解前面提及的模式根本不被认为是结论性的。相反,关于要求保护的该设备可以有许多不同的操作模式,并且当然所描述的连接器和添加器的数量和布置可以改变。
根据本发明构造的设备或燃烧器提供的另一个优点是能够从一种燃料顺利地变化到另一种燃料,而不必须停止操作。
然而,实际燃烧器的结构当然也可以改变。例如,取代设置在圆周上的孔15、19或除孔15、19之外,喷嘴体7可以具有环状管或环段,一种或更多种介质可以经由该环状管或环段供应至燃烧室4。

Claims (9)

1.一种利用高速火焰喷涂涂覆基底的设备,其包括:
基体,具有入口端、出口端以及端部开口的轴向通道,所述轴向通道在入口端和出口端之间延伸;
燃烧室(4),其位于基体的入口端内;
连接到基体的入口端的连接器体,包括面对燃烧室的内侧和背离燃烧室的外侧,所述内侧限定安装凹口;
喷嘴体,可更换地安装在连接器体的安装凹口中,喷嘴体包括用于通过连接器体接收流体的入口孔和将流体排放入燃烧室的出口孔;
在连接器体中的第一燃料添加器(L1),用于供应第一液体或气体燃料到喷嘴体的第一入口孔;
在连接器体中的第一气体添加器(L2),用于供应氧化气体到喷嘴体的第二入口孔;
在连接器体中的至少一个另外的燃料添加器(L5),用于供应另外的液体或气体燃料到喷嘴体的第三入口孔,以及
至少一个另外的气体添加器,用于供应氧化气体或惰性气体到喷嘴体的第三入口孔;
其中第三入口孔包括凹陷在喷嘴体的周围的第一环形管,
喷嘴体包括第一轴向孔,其将第一环形管流体连接到出口孔的第一多个出口孔,和
第一燃料添加器和所述至少一个另外的燃料添加器各设置有独立流量控制器,配置成彼此独立地控制供应到相应燃料添加器的燃料的流率。
2.如权利要求1所述的设备,其特征在于,喷嘴体包括中心出口孔,其流体连接到第一入口孔。
3.如权利要求2所述的设备,其特征在于,所述第一多个出口孔设置在围绕中心出口孔的第一圆周(16)上。
4.如权利要求3所述的设备,其特征在于,第二入口孔包括在与第一环形管隔开的位置凹陷在喷嘴体的周围的第二环形管,喷嘴体包括将第二环形管流体连接到出口孔的第二多个出口孔的第二轴向孔。
5.如权利要求4所述的设备,其特征在于,所述第二多个出口孔设置在第二圆周上,第二圆周围绕第一圆周并与第一圆周同心。
6.如权利要求1所述的设备,其特征在于,所述设备还包括:
中空体,其限定了所述燃烧室,并且可更换地***到并且包围在所述设备的基体的入口端中;以及
管状喷嘴,其在气体流的方向上在中空体的下游可更换地***基体的出口端,管状喷嘴设置有粉末添加端口,该粉末添加端口基本上径向定向或者与通过管状喷嘴的纵向中心线成一定角度地定向。
7.如权利要求6所述的设备,其特征在于,还包括:
环状体,其***在连接器体和中空体之间,环状体配置成在安装凹口内接触喷嘴体,并且通过中空体固定成保持与喷嘴体接触,以将喷嘴体保持在安装凹口中。
8.如权利要求7所述的设备,其特征在于,还包括:
可螺纹连接到基体的出口端的第一螺旋帽,第一螺旋帽将喷嘴体保持在基体的入口端并且将喷嘴体向中空体偏压并与中空体接合,使得中空体将环状体压向喷嘴体。
9.如权利要求8所述的设备,其特征在于,还包括:
在基体的入口端上的第二螺旋帽,第二螺旋帽将基体的入口端螺纹地连接到连接器体。
CN201110108355.9A 2010-04-29 2011-04-28 利用高速火焰喷涂涂覆基底的设备 Expired - Fee Related CN102233306B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CH00643/10A CH702999A1 (de) 2010-04-29 2010-04-29 Vorrichtung zur Beschichtung von Substraten mittels Hochgeschwindigkeitsflammspritzen.
CH00643/10 2010-04-29

Publications (2)

Publication Number Publication Date
CN102233306A CN102233306A (zh) 2011-11-09
CN102233306B true CN102233306B (zh) 2016-02-24

Family

ID=44487111

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110108355.9A Expired - Fee Related CN102233306B (zh) 2010-04-29 2011-04-28 利用高速火焰喷涂涂覆基底的设备

Country Status (5)

Country Link
US (1) US9032903B2 (zh)
EP (1) EP2383361B1 (zh)
JP (1) JP5813989B2 (zh)
CN (1) CN102233306B (zh)
CH (1) CH702999A1 (zh)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8992656B2 (en) * 2011-12-21 2015-03-31 Praxair Technology, Inc. Controllable solids injection
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5780502B2 (ja) * 2013-06-20 2015-09-16 芳▲高▼ 中川 溶射装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2868388A1 (en) * 2013-10-29 2015-05-06 Alstom Technology Ltd Device for HVOF spraying process
ITBO20130619A1 (it) 2013-11-12 2015-05-13 Ibix Srl Metodo e apparecchiatura per la spruzzatura a fiamma di polveri termoplastiche
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
SG11202105321TA (en) * 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
CN111549309B (zh) * 2020-05-29 2022-04-12 中国人民解放军军事科学院国防科技创新研究院 一种低温高速火焰喷涂枪
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5535953A (en) * 1992-11-27 1996-07-16 Huehne; Erwin Injector tip for burning aggregates
US5834066A (en) * 1996-07-17 1998-11-10 Huhne & Kunzli GmbH Oberflachentechnik Spraying material feeding means for flame spraying burner

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1099985A (en) * 1965-02-25 1968-01-17 Metallisation Ltd Improvements relating to metal spraying apparatus
US4375954A (en) * 1979-12-26 1983-03-08 Roger Trudel Oil and gas combination nozzle
US4622007A (en) * 1984-08-17 1986-11-11 American Combustion, Inc. Variable heat generating method and apparatus
DE4016412A1 (de) * 1990-05-22 1991-11-28 Utp Schweissmaterial Verfahren und vorrichtung zum hochgeschwindigkeitsflammspritzen von hochschmelzenden draht- und pulverfoermigen zusatzwerkstoffen zum beschichten von oberflaechen
DE4429142B4 (de) * 1994-08-17 2004-11-18 Matthäus Götz Düsenspritzkopf zum Hochgeschwindigkeitsflammspritzen so wie Verfahren zur Verarbeitung von Beschichtungspulvern
DE19652649A1 (de) * 1996-12-18 1998-06-25 Castolin Sa Flammspritzvorrichtung und Verfahren zum thermischen Spritzen
WO2004045777A1 (de) * 2002-11-19 2004-06-03 Huehne Erwin Dieter Niedertemperatur hochgeschwindigkeits-flammspritzsystem
US9399234B2 (en) * 2005-10-17 2016-07-26 National Research Council Of Canada Reactive spray formation of coatings and powders

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5535953A (en) * 1992-11-27 1996-07-16 Huehne; Erwin Injector tip for burning aggregates
US5834066A (en) * 1996-07-17 1998-11-10 Huhne & Kunzli GmbH Oberflachentechnik Spraying material feeding means for flame spraying burner

Also Published As

Publication number Publication date
US9032903B2 (en) 2015-05-19
EP2383361B1 (de) 2015-10-28
CH702999A1 (de) 2011-10-31
JP2011231405A (ja) 2011-11-17
US20110265715A1 (en) 2011-11-03
EP2383361A1 (de) 2011-11-02
JP5813989B2 (ja) 2015-11-17
CN102233306A (zh) 2011-11-09

Similar Documents

Publication Publication Date Title
CN102233306B (zh) 利用高速火焰喷涂涂覆基底的设备
CN201209691Y (zh) 用于促进非静态火焰的多路输出阀
US20070037106A1 (en) Method and apparatus to promote non-stationary flame
US6886757B2 (en) Nozzle assembly for HVOF thermal spray system
CN102235673A (zh) 用于燃料喷嘴的设备和方法
US20100050912A1 (en) Method for controlling the operation of a rotary furnace burner
US20110229649A1 (en) Supersonic material flame spray method and apparatus
CN102159890A (zh) 流体燃料燃烧器装置和制造燃烧器装置的方法
US5834066A (en) Spraying material feeding means for flame spraying burner
EP1704367A1 (en) Low polluting emission gas burner
JP4377159B2 (ja) ガラス成形モールドの溶融ガラス接触表面に煤を付着させる方法及び装置
CN107110505A (zh) 具有多流体燃料供应的燃气轮机单元和供应燃气轮机单元的燃烧器的方法
CN101490473B (zh) 用于交替进行氧燃和空气燃烧的燃烧器和方法
RU2003115779A (ru) Способ и устройство для смазки форм для расплавленного стекла
US5535953A (en) Injector tip for burning aggregates
US6360677B1 (en) Injector for a burner and corresponding injection system
US4194454A (en) Method for incinerating sludges
CN102322742B (zh) 喷枪
KR101765333B1 (ko) 용사보수기의 다열 샌드위치식 버너장치
AU682448B2 (en) Flame spraying burner
CN202267367U (zh) 喷枪
JP2005003360A (ja) 管状火炎バーナ
JP4148965B2 (ja) 加熱炉用の燃焼装置
KR20100084783A (ko) 금속코팅물의 고속분사 코팅 장치
CN219640245U (zh) 一种燃料喷枪以及燃烧器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160224

Termination date: 20180428

CF01 Termination of patent right due to non-payment of annual fee