CN102160155A - 适合蚀刻高深宽比特征结构的蚀刻反应器 - Google Patents

适合蚀刻高深宽比特征结构的蚀刻反应器 Download PDF

Info

Publication number
CN102160155A
CN102160155A CN2009801372456A CN200980137245A CN102160155A CN 102160155 A CN102160155 A CN 102160155A CN 2009801372456 A CN2009801372456 A CN 2009801372456A CN 200980137245 A CN200980137245 A CN 200980137245A CN 102160155 A CN102160155 A CN 102160155A
Authority
CN
China
Prior art keywords
power
gas
substrate
bias power
admixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801372456A
Other languages
English (en)
Inventor
曼弗雷德·欧斯瓦尔德
吉维克·帝尼威
简·鲁普弗
马尔库斯·迈耶
弗朗斯科·马利塔
乌韦·勒尔克
伦·蒂尔格
法里德·阿布阿梅里
亚历山大·马蒂施金
丹尼斯·库萨
逍平·周
托尔斯特恩·莱玛恩
迪卡伦·斯坎伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102160155A publication Critical patent/CN102160155A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H1/00Contacts
    • H01H1/12Contacts characterised by the manner in which co-operating contacts engage
    • H01H1/14Contacts characterised by the manner in which co-operating contacts engage by abutting
    • H01H1/34Contacts characterised by the manner in which co-operating contacts engage by abutting with provision for adjusting position of contact relative to its co-operating contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施例提供了能够等离子体蚀刻高深宽比特征结构的方法及设备。在实施例中,提供了用于蚀刻的方法,其包括:在蚀刻反应器中提供基板,且基板具有设置在硅层上的图案化罩幕;提供反应器的气体混合物;维持由气体混合物所形成的等离子体,其中提供至反应器的偏压功率及RF功率经过脉冲化;以及在等离子体存在的情况下,蚀刻硅层。

Description

适合蚀刻高深宽比特征结构的蚀刻反应器
技术领域
本发明的实施例大致涉及用于在半导体基板及其类似者中蚀刻高深宽比(aspect ratio)的特征结构(feature)的真空处理腔室,以及在腔室中所使用的部件。
背景技术
对于更快、效力更大的集成电路(IC)组件的需求已对IC制造技术导入新的挑战,包括在基板(例如半导体晶片)上蚀刻高深宽比的特征结构(例如:沟槽(trench)或通孔(via))的需求。举例来说,用于部分动态随机存取内存应用中的深沟槽储存结构需要将深的高深宽比沟槽蚀刻至半导体基板内。硅深沟槽蚀刻(deep silicon trench etching)通常是在使用氧化硅罩幕(mask)的反应性离子蚀刻(RIE)制程中进行。
在蚀刻高深宽比特征结构中显示出稳健效能表现的公知***为购自加州圣克拉拉的应用材料公司(Applied Materials,Inc.)的CENTURAHARTTM蚀刻***。HARTTM蚀刻***利用MERIE反应器,其能够蚀刻深宽比高达70∶1的沟槽,并同时维持沟槽深度均性(中央至边缘)为5%。然而,为了能够制造具有次90nm关键尺寸(sub-90nm critical dimension)的集成电路,电路设计者已要求在即使高深宽比的情况下也能够改良沟槽的均性。因此,期望改良蚀刻效能以实现下代的组件。
因此,需要一种用于蚀刻高深宽比特征结构的改良式设备。
发明内容
本发明的实施例提供了能够等离子体蚀刻高深宽比的特征结构的方法及设备。在一个实施例中,提供了一种用于等离子体蚀刻的设备。处理腔室包括:腔室主体,具有内部容积;喷洒头组件,耦接至腔室主体的顶壁,且喷洒头组件用于将来自至少两个分离的位置的气体混合物输送至腔室主体内;基板支撑组件,设置在腔室主体中;至少两个RF(射频)功率源,耦接至基板支撑组件;偏压功率源,耦接至基板支撑组件;以及控制器,与储存在内存中的指令相接(interface),当控制器执行指令时,会使得在处理腔室中执行方法,且该方法包括:提供气体混合物而使其通过喷洒头组件,并进入腔室主体中;施加RF功率以在腔室主体中维持由气体混合物所形成的等离子体;施加偏压功率至基板支撑组件,其中所施加的偏压功率与RF功率经过脉冲化(pulsed);以及在等离子体存在的情况下,对图案化罩幕(mask)选择性地蚀刻硅层,以在硅层中形成特征结构。
在另一实施例中,提供了一种用于蚀刻高深宽比特征结构的方法,包括:在蚀刻反应器中提供基板,且基板具有设置在硅层上的图案化罩幕;提供蚀刻反应器的气体混合物;施加RF源功率,以在蚀刻反应器中维持由气体混合物所形成的等离子体,其中RF源功率具有大于1MHz的频率;施加偏压功率至该基板,其中偏压功率具有大于50MHz的频率,且提供至蚀刻反应器的偏压功率及RF功率经过脉冲化(pulsed);以及在等离子体存在下,蚀刻硅层以在硅层中形成特征结构。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,其部分在图中示出。须注意的是,虽然所附图式揭露本发明特定实施例,但其并非用以限定本发明的精神与范围,任何本领域的技术人员可作各种的更动与润饰而得到等效实施例。
图1示出本发明的处理腔室的实施例的剖面视图。
图2示出由气体面板输送至处理腔室的气体的路径(routing)及控制的实施例的概要视图。
图3示出可在图1的处理腔室中执行的蚀刻制程的实施例的流程图。
为便于了解,图式中相同的组件符号表示相同的组件。某实施例采用的组件不需特别详述而可应用到其它实施例。
具体实施方式
图1为适于在基板144中蚀刻高深宽比特征结构的蚀刻反应器100的实施例的剖面视图。虽然所示的蚀刻反应器100包括能使其具有较佳蚀刻效能的多个特征,但可预期其它处理腔室也可适于受益于此处所揭露的一个或多个发明特征。
蚀刻反应器100包括腔室主体102及盖104,而腔室主体102与盖104围住内部容积106。腔室主体102一般由铝、不锈钢、或其它适合材料制成。腔室主体102一般包括侧壁108及底部110。基板出入口(图中未示)通常界定在侧壁108中,并选择性通过狭缝阀来密封,以利于基板144进出蚀刻反应器100。排气口126界定在腔室主体102中,并将内部容积106耦接至抽气***128。抽气***128一般包括用于将蚀刻腔室100的内部容积106的压力抽空(evacuate)并调节该压力的一个或多个泵以及节流阀。在实施例中,抽气***128将内部容积106内的压力维持在介于约10毫托(mTorr)至约20毫托的操作压力下。
衬垫118、181用于保护腔室主体102的侧壁108。衬垫118、181可包括温度控制特征,例如电阻加热器或是冷却流体的通道。在实施例中,阴极衬垫118包括形成在凸缘121中的导管120,而该凸缘121将衬垫118支撑在腔室底部110上。导管120通过通道122而流体耦接至流体来源124,该通道122形成穿过腔室主体102的底部110。
盖104密封地支撑在腔室主体102的侧壁108上。盖104可开启以允许进入蚀刻反应器100的内部容积106。盖104包括窗142以利于光学制程监控。在实施例中,窗142由石英或是可传递(transmissive)光学监控***140所使用的信号的其它适合材料所构成。
光学监控***140经定位以通过窗142而观看下列至少一者:腔室主体102的内部容积106及/或位于基板支撑组件148上的基板144。在实施例中,光学监控***140耦接至盖104,并有利于整合式蚀刻制程,该制程使用光学计量学(metrology)以:提供信息而能进行制程调整以补偿接下来出现的图案不致性(如CD、厚度及其类似者);提供制程状态监控(例如等离子体监控、温度监控及其类似监控);及/或终点侦测等。可适用而受益于本发明的光学监控***为
Figure BPA00001331520900041
全光谱干涉计量模块(购自加州圣克拉拉的应用材料公司)。
在实施例中,光学监控***140能够量测CD、薄膜厚度及等离子体特性。光学监控***140可使用一种或多种非破坏性光学量测技术,例如光谱术、干涉术、散射术、反射术及其类似者。光学监控***140可例如配置以执行干涉监控技术(例如:对时域中的干涉条纹进行计数、量测在频域中的条纹位置及其类似者)以实时(real time)量测形成在基板144上的结构的蚀刻深度轮廓。如何使用光学监控的实例的细节已揭露于共同受让的下列申请案:美国专利申请序号第60/479,601号,申请日为2003年6月18日,专利名称为“用于监控蚀刻制程的方法与***(Method and System for Monitoring an Etch Process)”;美国专利号第6,413,837号,公告日为2002年7月2日,专利名称为“使用光谱干涉术的薄膜厚度控制(Film Thickness Control Using Spectral Interferometry)”;以及美国专利申请序号第60/462,493号,申请日为2003年4月11日,专利名称为“在多晶片传递处理中使用原位与异位计量学与数据检索的制程控制增进与错误侦测(Process Control Enhancement and Fault Detection Using In-Situ and Ex-situ Metrologies and Data Retrieval In Multiple Pass Wafer Processing)”。
气体面板158耦接至蚀刻反应器100,以提供处理气体及/或清洁气体至内部容积106。在图1所描述的实施例中,入口端口132’、132”设置在盖104中,以允许气体由气体面板158输送至蚀刻反应器100的内部容积106。由气体面板158输送至各入口端口132’、132”的气体可以独立地控制,举例来说,第一气体混合物可以提供至入口端口132’,而第二气体混合物可以提供至入口端口132”。
气体面板158可包括一个或多个蒸气输送设备,以将特殊的蒸气(specialty vapor)加入蚀刻气体混合物。特殊蒸气的量及类型可经过选择以增进硅侧壁钝化。
喷洒头组件130耦接至盖104的内部表面114。喷洒头组件130包括多个孔洞,且这些孔洞允许来自入口端口132’、132”的气体流经喷洒头组件130而进入蚀刻反应器100的内部容积106,而这些气体以跨越在反应器100中处理的基板144表面的预定分布方式流动。
喷洒头组件130额外包括可传递光学计量信号的区域。光学传递区域或信道138适于允许光学监控***140观看内部容积106及/或位于基板支撑组件148上的基板144。通道138可以为形成或设置在喷洒头组件130中的材料、孔洞或是多个孔洞,其对于光学量测***140所产生的能量波长及反射回光学量测***140的能量波长为实质可传递的。在实施例中,通道138包括窗142,以预防气体由通道138泄漏。窗142可以为蓝宝石板、石英板或其它适合材料。窗142亦可或者设置在盖104中。
在实施例中,喷洒头组件130配置而具有多个区(zone),以允许对于流至蚀刻反应器100的内部容积106中的气体分别进行控制。在图1所示的实施例中,喷洒头组件130具有内部区134及外部区136,其分别通过独立的入口端口132’、132”而耦接至气体面板158。来自气体面板158的气体通过个别的入口端132’、132”而提供至喷洒头组件中的独立充气部(plenum),由此允许来自喷洒头组件130的气体在各个区134、136中独立控制,而延伸进入反应器100的内部容积106内。
喷洒头组件130的底部表面一般面向处理区域,因此涂覆有保护性材料,例如Y2O3或是其它含钇材料。喷洒头组件130的内部外径亦可涂覆有保护性材料,例如Y2O3或是其它含钇材料。
图2示出由气体面板158输送至蚀刻反应器100的气体的路径(routing)及控制。气体面板158一般包括耦接至混合歧管210的多个气体来源以及流量控制器214。
一般来说,来自各个气体来源的流动受到控制阀208的控制。控制阀208控制由来源提供的流体的流动、速率、压力等的至少其中一者。控制阀208可包括超过一个阀、调节器及/或其它流量控制装置。
在实施例中,气体面板158包括至少一个直接气体来源202、至少一个处理气体来源204、至少一个载运气体来源206,以及选择性的至少一个特殊蒸气(specialty vapor)来源250。处理气体来源204及载运气体来源206通过个别的气体管线而流体耦接至混合歧管210。来自来源204、206、250的各种气体及/或蒸气在混合歧管210内组合以形成输送前(pre-delivery)气体混合物。由此,可以通过选择性地开启各个阀208而选择混合歧管210中的输送前气体混合物的组成,因此可组合出特殊蒸气、载运气体与处理气体的预定组合。举例来说,来自处理气体来源204的至少一种处理气体,以及选择性地来自载运气体来源206的至少一种载运气体可以采任何的组合方式而在混合歧管320中组合。选择性地,来自来源250的特殊蒸气亦可提供至混合歧管210。处理气体的实例包括SiCl4、HBr、NF3、O2及SiF4等。载运气体的实例包括N2、He、Ar、对于制程为惰性的其它气体以及非反应性气体。可使用的特殊蒸气的实例包括但不限于为TiCl4。此种蒸气添加可用于加入适当的材料以增强蚀刻过程的侧壁钝化。因此,可以获得较佳的轮廓控制以及达到蚀刻的绝佳异向性(anisotropy)。一般来说,此种额外蒸气或气体的构想是为了提供能够增进侧壁钝化的物质,藉以改善CD控制。典型的侧壁钝化是呈不同化学计量(stoichiometry)的氧化硅的混合物。在此实施例中的钛形成氧化钛,而其会整合至钝化层内。亦可加入甲烷(CH4)以控制侧壁钝化。加入碳会形成SiC(碳化硅),而其会赋予非常抗蚀刻的材料特性。
流量控制器214通过主要气体馈给(feed)212而耦接至混合歧管210。流量控制器214用于将来自混合歧管210的输送前气体混合物分流(split)成为次混合物(sub-mixture),而这些次混合物通过个别气体馈给管线而输送至反应器100。一般来说,气体馈给管线的数量与界定在喷洒头组件130中的区(或分离的充气部)数量为相称。在图2所述的实施例中,两个气体馈给管线216、218将流量控制器214耦接至个别的入口端口132’、132”。
流量控制器214一般配置以控制流至各个供应管线216、218的次混合物比例。以此方式,则可以控制流至各区,以及最终流至基板144的各区域的气体次混合物的比例。流量控制器214可以使用电子或机械装置而将输送前气体混合物进行分流。在实施例中,流量控制器214能够相应于来自控制器150的信号而动态地控制该比例,由此能够改变基板的分批(batch)之间、基板之间以及/或原位处理单基板的该比例。在另一实施例中,流量控制器214经设定而使得管线216、218之间的该比例固定。该比例可以通过设置在流量控制器214中的一个或多个孔口(orifice)来设定,由此,来自主要气体馈给212的流量可以被较佳地在气体馈给管线216、218之间分流。
在实施例中,流量控制器214提供较多的气体至内部区134(相较于外部区136)。在另一实施例中,流量控制器214提供较多的气体至外部区136(相较于内部区134)。在另一实施例中,于第一基板处理期间,流量控制器214提供较多的气体至内部区134(相较于外部区136),接着,改变原位处理基板的比例,则于第二基板处理期间提供较多的气体至外部区136(相较于内部区134)。可预期流量控制器214可用于控制输送至蚀刻反应器100中的不同区的流量比例呈其它的顺序或比例。
亦可由气体面板158的直接注入气体来源202而提供直接注入气体至蚀刻反应器100的内部容积106。由直接注入气体来源202流出的直接注入气体的量受到阀208的控制。
在实施例中,直接注入气体提供至气体馈给管线216、218的至少一者。在另一实施例中,直接注入气体T型汇流(tee)至两个直接馈给管线220、222,而直接馈给管线220、222又分别T型汇流至气体馈给管线216、218。在另一实施例中,直接注入气体提供至耦接至入口端口132’、132”的至少一个气体馈给。在另一实施例中,直接注入气体提供至喷洒头组件130的至少一个充气部。
在图2所述的实施例中,提供相同量的直接注入气体至各个区134、136。可选择地,可使用第二流量控制器224(以虚线显示,并类似于流量控制器214)以提供不同比例的直接注入气体至各个区134、136。
再次参照图1,基板支撑组件148设置在蚀刻反应器100的内部容积106内,而位于喷洒头组件130的下方。基板支撑组件148在处理过程中支托住基板144。基板支撑组件148一般包括穿设于其中的多个升举销(图中未示),而这些升举销配置以将基板升举离开支撑组件148,并利于采公知方式来使用的机械手(robot;图中未示)来交换基板144。
在实施例中,基板支撑组件148包括安装板162、基部164及静电夹盘(electrostatic chuck)166。安装板162耦接至腔室主体102的底部110,且包括通路,而这些通路提供设施(例如流体、电源线及感应器导线)路径至基部164及夹盘166。
基部164或夹盘166的至少一者包括至少选择性的嵌设加热器176、至少一个选择性的嵌设隔离器174以及多个导管,由此控制支撑组件148的横向温度分布。在图1所述的实施例中,一个环状隔离器174及两个导管168、170设置在基部164中,而电阻加热器176设置在夹盘166中。导管流体耦接至流体来源172而将温度调节流体循环通过其中。加热器176由电源178所调节。导管168、170及加热器176用于控制基部164的温度,藉以加热及/或冷却静电夹盘166,并藉以至少部分控制设置在静电夹盘166上的基板144的温度。
形成在基部164中的两个分离的冷却导管168、170界定出至少两个个可独立控制的温度区。预期亦可配置额外的冷却导管及/或导管布局,以界定出额外的温度控制区。在实施例中,第一冷却导管168布置在第二冷却导管170的径向内侧,由此,温度控制区为同心。可预期导管168、170呈辐射状定向,或是具有其它的几何配置。冷却导管168、170可耦接至温控热传流体的单来源172,或是可分别耦接至独立的热传流体来源。
隔离器174由导热数(coefficient of thermal conductivity)与基部164的相邻区域的材料的导热数不同的材料形成。在实施例中,隔离器174的导热数小于基部164。在另一实施例中,隔离器174可以由具有异向性(即,方向相依;direction-dependent)的导热数的材料形成。隔离器174用做为相对于通过在热传路径上不具有隔离器的基部164的相邻部分的热传速率,而局部改变在支撑组件148之间并通过基板而至导管168、170的热传速率。隔离器174横向设置在第一及第二冷却导管168、170之间,以提供界定穿过基板支撑组件148的温控区的增进的热隔离。
在图1所述的实施例中,隔离器174设置在导管168、170之间,由此阻碍横向热传,并促进跨越基板支撑组件148的横向温控区。因此,藉由控制***件(insert)的数量、形状、尺寸、位置及热传数(coefficient ofheat transfer),可以控制静电夹盘166及座落在其上的基板144的温度分布。虽然图1所示的隔离器174的形状为环状,但隔离器也可以为任何数种其它形状。
静电夹盘166与基部164的温度使用多个感应器来监控。在图1所述的实施例中,图中示出的第一温度感应器190及第二温度感应器192径向分隔定向,藉此,第一温度感应器190可将支撑组件148之中央区域的温度计量指示(metric indicative)提供给控制器150,而第二温度感应器192可将支撑组件148的周围区域的温度计量指示提供给控制器150。
静电夹盘166设置在基部164上,并且周围外接有覆盖环146。静电夹盘166可以由铝、陶瓷或适于在处理过程中支撑基板144的其它材料制成。在实施例中,静电夹盘166是陶瓷。或者,静电夹盘166可以由真空夹盘、机械夹盘或其它适合的基板支撑件来取代。
静电夹盘166一般由陶瓷或类似介电材料形成,并且包括至少一个电极180。电极180耦接至夹持电源182,而该夹持电源182用以控制施加至基板支撑组件148上的基板的夹持力。
偏压功率源183耦接至电极180或是位于基板支撑组件148内的其它电极。偏压功率源183提供电极180偏压,而其导致等离子体中的离子在蚀刻过程中加速朝向基板。偏压功率源183可配置以提供DC或RF偏压功率。在实施例中,偏压功率源183在约2kHz~约100MHz的频率下提供500~7000瓦特(Watts)的功率,例如约700~4000瓦特。在实施例中,偏压功率频率控制在约1kHz~约100MHz,例如2kHz、100MHz或60MHz。偏压功率源183所提供的偏压功率可以被脉冲化或是连续地施加。
电极180(或设置在夹盘166或基部164中的其它电极)可进一步耦接至一个或多个RF功率源,以藉由使导入蚀刻反应器100中的气体离子化而维持等离子体。在图1所述的实施例中,电极180通过匹配网络188而耦接至第RF功率源184、第二RF功率源185以及第三RF功率源186。功率源184、185、186般能够产生频率为约50kHz~约3GHz且功率高达约11000瓦特的RF信号。在实例中,源功率控制在频率约2MHz下而为约6~约11000瓦特,例如约300~约11000瓦特。匹配网络188将功率源184、185、186的阻抗匹配至等离子体阻抗。单馈给(feed)将来自功率源184、185、186的能量耦合至电极180。或者,各个功率源184、185、186可以通过不同的馈给而耦合至电极180。滤波器155可用于保护功率源184、185、186免受由其它功率源所产生的功率的影响。通过阴极而耦合至等离子体的多个RF频率用于修改离子能量分布,以增进Si蚀刻速率及选择性。功率源184、185、186的一者或多者可选择性地耦接至喷洒头组件130。
在实施例中,功率源184、185、186可在脉冲模式下工作,以增进离子能量分布功能及等离子体密度分布,进而增进Si蚀刻速率及选择性。脉冲可以通过在功率源内部启动,或是使用控制器以开启并关闭设置在RF功率源与电极180之间的一个或多个开关而外部地同步化。
静电夹盘166亦可包括由电源178所控制的至少一个嵌设加热器176。在实施例中,可操作加热器176以维持静电夹盘166暴露于处理环境的表面的温度在约120℃或更高温。
静电夹盘166可还包括多个气体通道(图中未示)(例如沟槽),其形成在夹盘的支撑表面上并流体耦接至热传(或背侧)气体来源。在操作中,背侧气体(例如氦气He)以受控压力而提供至气体通道中,藉以增进静电夹盘166与基板144之间的热传。如公知地,静电夹盘的至少一个基板支撑表面提供有涂层,该涂层能够抵抗在基板处理期间所使用的化学物质及温度。
多个磁性线圈160设置在腔室主体102的外部周围。在实施例中,可使用高达8个或更多个磁性线圈160以修改蚀刻反应器100内的等离子体分布。在图1所示的实施例中,示出6个磁性线圈160。磁性线圈160可以独立地控制而使蚀刻反应器100内的磁场均性最佳化。磁性线圈160耦接至至少一个电源161,藉此,各个磁性线圈160所产生的磁场可以独立地控制。虽然图1仅示出一个电源161,但各个磁性线圈160可以耦接至独立且专用的电源161。或者,磁性线圈160可共享一个或多个电源161。
图3为示出可以在蚀刻反应器100或其它适合的蚀刻反应器中执行的方法的实施例的流程图。方法300开始于步骤302,在蚀刻反应器(如:反应器100或其它适合的反应器)中提供基板,该基板具有图案化于其上的罩幕(mask)。在步骤304,提供气体混合物至反应器。在实施例中,气体混合物包括HBr。一个或多个特殊蒸气NF3、Ar、O2及SiCl4可以在各种时间点而包括在气体混合物中。举例而言,NF3及/或O2可以周期性地加入,以从所形成的特征结构的侧壁移除钝化材料。在步骤306,维持由气体混合物所形成的等离子体。可以藉由施加RF及/或偏压功率至基板支撑组件148以维持等离子体。RF及/或偏压功率的功率、频率、时序及工作周期(duty cycle)可以如下述而选择的。在步骤308,于等离子体存在的情况下,对罩幕具有高选择性而蚀刻高深宽比的特征结构。
在步骤302所提供的基板可包括硅层。硅层覆盖图案化罩幕,例如光阻罩幕(photoresist mask)及/或硬式罩幕(hardmask)。硬式罩幕材料可以为任何类型的二氧化硅或氮化硅,或是其它具有陶瓷材料特性的适合材料,例如:氧化锆、氧化铝、氮化铝、氧化钛或是此种材料组合成的堆栈层。
通过喷洒头组件的多个气体流动区所提供的气体而形成的等离子体可以在步骤304而维持,而该维持通过一个或多个RF功率源184、185、186而施加约500~约2800瓦特至基板支撑组件。在实施例中,功率在60MHz施加。该方法可包括将腔室压力调节在约0~约300毫托(mT)之间。以约500~约2800瓦特(W)的偏压功率而对基板偏压。在实施例中,偏压功率在约2MHz的频率下施加。偏压功率可在工作周期约20~约98%(例如约35%~约95%)而脉冲化。使用具有约0~约140高斯(Gauss;G)的磁性线圈160而跨越腔室施加磁性B-场(magnetic B-field)。基板上的硅材料通过罩幕中的开口而被等离子体蚀刻,以形成深宽比高达至少80∶1的沟槽。
处理气体、直接注入气体、特殊蒸气及/或惰性气体的混合物提供至腔室以供等离子体蚀刻。混合物可包括HBr、NF3、O2、SiF4、SiCl4及Ar的至少一者。在实施例中,提供至混合歧管的处理气体包括HBr及NF3,而O2、SiF4及SiCl4可以选择性地提供。在示范性实施例中,针对适于在300mm基板上蚀刻硅材料的制程而将下列物质提供至混合歧管:约50~约500sccm的HBr、约0~约200sccm的NF3、约0~约200sccm的O2、约0~约200sccm的SiF4、约0~约300sccm的SiCl4及约0~约400sccm的Ar。混合气体提供至充气部的流速比例经选择而相称于特征结构密度、尺寸及横向位置。SiCl4可用作为提供至喷洒头组件的充气部的直接注入气体而旁通(bypass)混合歧管。
藉由一个或多个RF功率源184、185、186而提供至基板支撑组件148的功率可以经脉冲化(pulsed)。对施加至基板支撑组件148的RF源功率及/或偏压功率进行脉冲化可以有利地增加关于罩幕的硅的蚀刻制程的选择性。此外,经脉冲化的RF源功率及/或RF偏压功率允许使用较高的RF频率,而其会导致在基板中央较高的蚀刻速率。在实施例中,RF源功率控制在大于1MHz,例如约2MHz,RF偏压功率控制在大于约50MHz,例如约100MHz,而其可以增进蚀刻选择性及蚀刻薄膜的均性。因此,经脉冲化的RF允许频率工作范围(process window)变宽,藉以允许使用频率以调整中央至边缘的蚀刻速率,而获得更均的蚀刻深度处理结果。
藉由RF及/或偏压源而施加至基板支撑组件148的功率可以通过RF及/或偏压源或外部开关(如图1所示的155)而脉冲化。偏压及RF功率源所提供的脉冲时序可以通过数种技术而控制的。在以下实例中,RF源用于提供施加功率至偏压源的参考时间(time reference),因此,为了方便,RF源称之为主要装置(master),而偏压源称之为从属装置(slave)。可预期偏压源也可用作为主要装置。在实施例中,由从属装置提供的功率脉冲的时序与主要装置为同步。主要装置/从属装置可具有完全同步的工作周期时序(duty cycle timing),也就是说,当主要装置提供功率,则从属装置提供功率,且当主要装置不提供功率,则从属装置不提供功率。在另一实施例中,主要装置/从属装置的工作周期时序为颠倒的,也就是说,当主要装置提供功率,从属装置则不提供功率,且当主要装置不提供功率,则从属装置提供功率。在另一实施例中,主要装置/从属装置的工作周期时序为偏移的,也就是说,从属装置的功率提供状态相对于主要装置的功率提供状态而偏移或错开(时间延迟)。偏移的工作周期时序可能导致从属装置仅在主要装置提供功率的部分时间来提供功率、从属装置仅在主要装置不提供功率的部分时间来提供功率、或是从属装置在包括主要装置提供功率的部分时间及主要装置不提供功率的部分时间的部分时间期间提供功率。
制程结果证实低偏压功率工作周期(即,较短的偏压脉冲开关时间)可增进罩幕对硅的选择性。低工作周期界定为每个脉冲小于约50%的开启(on)。在低偏压功率工作周期下,蚀刻特征结构的阻塞(choking)增加(例如:钝化材料或蚀刻副产物提供至蚀刻沟槽)可以通过偏压功率的频率的增加而抵销,藉以能够增进蚀刻深度均性。偏压功率的频率的增加亦可以增加蚀刻速率。另外,脉冲化该偏压功率允许可使用较高的RF功率,因而造成较快的蚀刻速率而不会损失罩幕选择性。另外,偏移工作周期时序亦证实了在蚀刻制程过程中阻塞量的降低(相较于具有相似制程参数的同步时序)。
因此,利用偏压功率的频率与工作周期,并伴随偏压功率的施加时序,则可允许宽的工作范围,因而具有边缘至中央的蚀刻深度均性控制,并伴随成功地在硅中蚀刻高深宽比特征结构所需的罩幕对硅的高度蚀刻。针对在较高偏压功率频率下的所有工作周期及时序,其蚀刻深度均性皆改善。较高的偏压功率频率亦会在基板的边缘产生较快的蚀刻。选择性(selectivity)在低工作周期的较高偏压功率频率下可被最大化。降低在低工作周期施加的RF功率亦可改善蚀刻深度均性,但却损失了蚀刻速率。
已证实上述的处理腔室及方法使得能够蚀刻高深宽比特征结构,并具有跨越基板表面的良好均性,以及罩幕对硅的高选择性。
虽然本发明已以较佳实施例揭露如上,但其并非用以限定本发明,任何本领域的技术人员,在不脱离本发明的精神和范围的前提下,可作各种的更动与润饰,因此本发明的保护范围应由所附权利要求来界定。

Claims (20)

1.一种处理腔室,包括:
腔室主体,具有内部容积;
喷洒头组件,耦接至该腔室主体的顶壁,该喷洒头组件用于将来自至少两个分离的位置的气体混合物输送至该腔室主体内;
基板支撑组件,设置在该腔室主体中;
至少两个RF功率源,耦接至该基板支撑组件;
偏压功率源,耦接至该基板支撑组件;以及
控制器,与储存在内存中的指令相接,当该控制器执行这些指令时,会导致在该处理腔室中执行方法,该方法包括:
提供该气体混合物而使其通过该喷洒头组件,并进入该腔室主体中;
施加来自该RF功率源的RF功率,以在该腔室主体中维持由该气体混合物所形成的等离子体;
将来自该偏压功率源的偏压功率施加至该基板支撑组件,其中所施加的该偏压功率与该RF功率经过脉冲化;以及
在该等离子体存在的情况下,对图案化罩幕选择性地蚀刻硅层,以在该硅层中形成特征结构。
2.如权利要求1所述的处理腔室,其中这些指令还使得该方法包括:
以约35%至约95%的工作周期使该RF偏压功率脉冲化。
3.如权利要求1所述的处理腔室,还包括:
至少一个滤波器,设置在该RF功率源与该基板支撑组件之间。
4.如权利要求1所述的处理腔室,还包括:
第三RF功率源,耦接至该基板支撑组件。
5.如权利要求1所述的处理腔室,其中这些指令还使得该方法包括:
由形成在该喷洒头组件中的这些分离的位置的每者提供具有不同流速的处理气体。
6.如权利要求1所述的处理腔室,其中该RF功率源用于在大于约1MHz的频率下产生功率。
7.如权利要求1所述的处理腔室,其中该偏压功率源用于在大于约50MHz的频率下产生功率。
8.如权利要求1所述的处理腔室,其中该偏压功率源用于在约100MHz的频率下产生功率。
9.如权利要求1所述的处理腔室,还包括:
多个磁性线圈,设置在该腔室主体的外部的周围。
10.如权利要求9所述的处理腔室,其中高达8个或更多个这些磁性线圈设置在该腔室主体的该外部的周围。
11.如权利要求1所述的处理腔室,还包括:
耦接至该腔室主体的HBr、NF3、Ar、O2及SiCl4的来源。
12.如权利要求1所述的处理腔室,其中这些指令还使得该方法包括:
通过在蚀刻过程中供应NF3气体,而从形成在该硅层中的这些特征结构的侧壁移除钝化材料。
13.一种用于蚀刻高深宽比特征结构的方法,包括:
在蚀刻反应器中提供基板,该基板具有设置在硅层上的图案化罩幕;
提供该蚀刻反应器的气体混合物;
施加RF源功率,以在该蚀刻反应器中维持由该气体混合物所形成的等离子体,其中该RF源功率具有大于1MHz的频率;
施加偏压功率至该基板,其中该偏压功率具有大于50MHz的频率,且提供至该蚀刻反应器的该偏压功率及该RF功率经过脉冲化;以及
在该等离子体存在的情况下,蚀刻该硅层以在该硅层中形成特征结构。
14.如权利要求13所述的方法,其中该施加RF源功率的步骤还包括:
将来自高达三个RF功率源的功率施加通过设置在该蚀刻反应器中的基板支撑组件。
15.如权利要求13所述的方法,其中施加该偏压功率至该基板通过设置在该蚀刻反应器中的基板支撑组件。
16.如权利要求13所述的方法,其中该施加该偏压功率至该基板的步骤还包括:
以约35%至约95%的工作周期使该RF偏压功率脉冲化。
17.如权利要求13所述的方法,其中提供该气体混合物的步骤还包括:
提供选自由HBr、NF3、Ar、O2及SiCl4所组成的群组的该气体混合物。
18.如权利要求13所述的方法,其中蚀刻该硅层的步骤还包括:
通过在蚀刻过程中供应NF3气体,而从形成在该硅层中的这些特征结构的侧壁移除钝化材料。
19.一种处理腔室,包括:
腔室主体,具有内部容积;
喷洒头组件,耦接至该腔室主体的顶壁,该喷洒头组件用于将来自至少两个分离的位置的气体混合物输送至该腔室主体内;
基板支撑组件,设置在该腔室主体中;
至少两个RF功率源,耦接至该基板支撑组件,且配置以在大于1MHz的频率下提供RF功率;
偏压功率源,耦接至该基板支撑组件,且配置以在大于50MHz的频率下提供RF偏压功率;以及
控制器,与储存在内存中的指令相接,当该控制器执行这些指令时,会导致在该处理腔室中执行方法,该方法包括:
提供该气体混合物而使其通过该喷洒头组件并进入该腔室主体中,其中该气体混合物通过该喷洒头组件的该两个分离的位置,而通过该喷洒头组件的这些分离的位置的每者的该气体混合物具有不同流速;
将来自该至少两个RF功率源的RF功率施加至该基板支撑组件,以在该腔室主体中维持由该气体混合物所形成的等离子体;
将来自该偏压功率源的偏压功率施加至该基板支撑组件,其中所施加的该偏压功率与该RF功率经过脉冲化;以及
在该等离子体存在的情况下,对图案化罩幕选择性地蚀刻硅层,以在该硅层中形成特征结构。
20.如权利要求19所述的处理腔室,其中这些指令还使得该方法包括:
以约35%至约95%的工作周期使该RF偏压功率脉冲化。
CN2009801372456A 2008-09-22 2009-09-21 适合蚀刻高深宽比特征结构的蚀刻反应器 Pending CN102160155A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9907908P 2008-09-22 2008-09-22
US61/099,079 2008-09-22
PCT/US2009/057703 WO2010033924A2 (en) 2008-09-22 2009-09-21 Etch reactor suitable for etching high aspect ratio features

Publications (1)

Publication Number Publication Date
CN102160155A true CN102160155A (zh) 2011-08-17

Family

ID=42040186

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801372456A Pending CN102160155A (zh) 2008-09-22 2009-09-21 适合蚀刻高深宽比特征结构的蚀刻反应器

Country Status (6)

Country Link
US (1) US20100099266A1 (zh)
JP (1) JP2012503342A (zh)
KR (1) KR101522251B1 (zh)
CN (1) CN102160155A (zh)
TW (1) TWI484577B (zh)
WO (1) WO2010033924A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103515181A (zh) * 2012-06-22 2014-01-15 朗姆研究公司 用于在等离子体处理***中控制等离子体的方法和装置
CN110573653A (zh) * 2017-04-21 2019-12-13 应用材料公司 改良式电极组件
CN112204706A (zh) * 2018-06-19 2021-01-08 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN113366603A (zh) * 2019-02-08 2021-09-07 应用材料公司 蚀刻半导体结构的方法和设备

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
JP5525319B2 (ja) * 2010-04-21 2014-06-18 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
FR2976119A1 (fr) * 2011-06-06 2012-12-07 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif d'imagerie a illumination face arriere, et dispositif correspondant
US10256123B2 (en) * 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8492280B1 (en) 2012-05-07 2013-07-23 International Business Machines Corporation Method for simultaneously forming features of different depths in a semiconductor substrate
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR101800719B1 (ko) 2012-11-30 2017-11-23 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 장치, 시스템들 및 방법들
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9299574B2 (en) * 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
JP6180824B2 (ja) * 2013-07-02 2017-08-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9530623B2 (en) 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10622217B2 (en) 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN109155242B (zh) * 2016-05-20 2023-05-09 应用材料公司 用于半导体处理的气体分配喷头
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US12009218B2 (en) * 2022-05-06 2024-06-11 Applied Materials, Inc. Pulsed etch process

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US20010051438A1 (en) * 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
EP1599894A4 (en) * 2003-03-03 2010-04-28 Lam Res Corp PROCESS FOR IMPROVING PROFILE CONTROL AND N / P LOADING IN DOUBLE-DOTED GATE APPLICATIONS
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103515181A (zh) * 2012-06-22 2014-01-15 朗姆研究公司 用于在等离子体处理***中控制等离子体的方法和装置
CN103515181B (zh) * 2012-06-22 2016-06-08 朗姆研究公司 用于在具有电极的等离子体处理***中处理衬底的方法和装置
CN105914123A (zh) * 2012-06-22 2016-08-31 朗姆研究公司 用于在等离子体处理***中控制等离子体的方法和装置
CN105914123B (zh) * 2012-06-22 2020-01-21 朗姆研究公司 用于在等离子体处理***中控制等离子体的方法和装置
CN110573653A (zh) * 2017-04-21 2019-12-13 应用材料公司 改良式电极组件
CN110573653B (zh) * 2017-04-21 2022-01-11 应用材料公司 改良式电极组件
TWI756398B (zh) * 2017-04-21 2022-03-01 美商應用材料股份有限公司 改良式電極組合件
CN112204706A (zh) * 2018-06-19 2021-01-08 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN112204706B (zh) * 2018-06-19 2022-02-25 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN113366603A (zh) * 2019-02-08 2021-09-07 应用材料公司 蚀刻半导体结构的方法和设备
CN113366603B (zh) * 2019-02-08 2024-05-31 应用材料公司 蚀刻半导体结构的方法和设备

Also Published As

Publication number Publication date
JP2012503342A (ja) 2012-02-02
TW201029091A (en) 2010-08-01
WO2010033924A3 (en) 2010-06-03
TWI484577B (zh) 2015-05-11
KR20110057266A (ko) 2011-05-31
US20100099266A1 (en) 2010-04-22
WO2010033924A2 (en) 2010-03-25
KR101522251B1 (ko) 2015-05-21

Similar Documents

Publication Publication Date Title
CN102160155A (zh) 适合蚀刻高深宽比特征结构的蚀刻反应器
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
US11742212B2 (en) Directional deposition in etch chamber
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US20230093011A1 (en) Atomic layer etching of molybdenum
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
TW201438062A (zh) 使用雙射頻偏壓頻率施加方式的非晶碳沉積方法
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
WO2020123562A2 (en) Etching carbon layer using doped carbon as a hard mask
WO2015060929A1 (en) Methods for patterning a hardmask layer for an ion implantation process
KR20210008919A (ko) 냉각된 대면 플레이트를 갖는 샤워헤드를 갖는 기판 프로세싱 챔버
CN100540733C (zh) 用于沉积具有可调节的性质的材料的方法和装置
TW201511129A (zh) 用於昇華蝕刻製程之低溫電漿退火製程
WO2020190941A1 (en) Reducing roughness of extreme ultraviolet lithography resists
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
WO2022081458A1 (en) Chamber configurations and processes for particle control
US20240011153A1 (en) Continuous liner for use in a processing chamber
TWI323011B (en) Method for etching having a controlled distribution of process results
US20040256353A1 (en) Method and system for deep trench silicon etch
US20230134436A1 (en) Plasma processing method and plasma processing apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110817