TW201534410A - 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程 - Google Patents

使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程 Download PDF

Info

Publication number
TW201534410A
TW201534410A TW104104102A TW104104102A TW201534410A TW 201534410 A TW201534410 A TW 201534410A TW 104104102 A TW104104102 A TW 104104102A TW 104104102 A TW104104102 A TW 104104102A TW 201534410 A TW201534410 A TW 201534410A
Authority
TW
Taiwan
Prior art keywords
processing chamber
cleaning
substrate
bias power
gas mixture
Prior art date
Application number
TW104104102A
Other languages
English (en)
Inventor
Pramit Manna
Prashant Kumar Kulshreshtha
Kwangduk Douglas Lee
Martin Jay Seamons
Abhijit Basu Mallick
Bok Hoen Kim
Mukund Srinivasan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201534410A publication Critical patent/TW201534410A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明提供清潔處理腔室的方法,以用於去除處理腔室中的非晶含碳殘留物。該清潔製程在清潔製程期間使用低頻率射頻(RF)偏壓功率。在一實施例中,清潔處理腔室的方法包括供應清潔氣體混合物至處理腔室中,對配置在該處理腔室內的基板支座組件施加約2MHz或更低的RF偏壓功率以於該處理腔室內於該清潔氣體混合物中形成電漿,並去除該處理腔室中的沉積殘留物。

Description

使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
本發明關於積體電路的製造,且有關一種在形成硬遮罩層之後用於清潔處理腔室的清潔製程。更明確言之,本發明關於一種在形成硬遮罩層之後使用低射頻頻率偏壓功率來清潔處理腔室的清潔製程,該清潔製程可用於半導體應用。
積體電路演進成複雜元件,複雜的元件中可能在一個晶片內包含數以百萬計的電晶體、電容及電阻。晶片設計的演進不斷要求更快的電路系統及更高的電路密度。對於更快電路及更高電路密度的需求加強了對於用來製造此類積體電路之材料上的相應需求。尤其當積體電路構件的尺寸縮小至次微米級的尺寸規格(sub-micron scale)時,迫切需要使用低電阻的導電材料(例如,銅)及低介電常數的絕緣材料(介電常數小於約4)以從此等構件中獲得適當的電性能。
對於更高積體電路密度的需求也加強了對於用來製 造積體電路構件之製程程序的要求。例如,在使用習知微影技術的製程程序中,在配置於基板上的材料層堆疊上形成能量敏感性光阻層。使能量敏感性光阻層暴露在圖案影像下而形成光阻遮罩。隨後,使用蝕刻製程將該遮罩圖案轉移至該等材料層堆疊中的一個或更多個材料層中。選擇蝕刻製程中所使用的化學蝕刻劑,以使化學蝕刻劑對該堆疊之材料層的蝕刻選擇性大於對該能量敏感性光阻遮罩的蝕刻選擇性。即是,該化學蝕刻劑蝕刻該材料堆疊之一個或更多個層的蝕刻速度遠快於該化學蝕刻劑蝕刻該能量敏感性光阻的蝕刻速度。對該堆疊之一個或更多個層的蝕刻選擇性超過對該光阻的蝕刻選擇性可使該能量敏感性光阻在完成圖案轉移之前不會被消耗掉。因此,高選擇性的蝕刻劑可加強精確地圖案轉移。
由於用來形成半導體元件結構的幾何形狀限制與技術上的極限相抵觸,因此越來越難以滿足對於用來製造具有小臨界尺寸及高深寬比之結構的精確圖案轉移技術需求。例如,縮減能量敏感性光阻的厚度以控制圖案解析度。此種薄光阻層(例如小於約2000Å)會因為受到化學蝕刻劑的攻擊而可能不足以在圖案轉移步驟期間遮蓋下方的材料層。由於中間層(例如,氮氧化矽膜、碳化矽膜或碳膜,又稱為硬遮罩層)對化學蝕刻劑具有較大的抗性,因此在能量敏感性光阻層與下方材料層之間經常使用中間層以幫助進行圖案轉移。當蝕刻材料以形成深寬比大於約5:1及/或臨界尺寸小於約50奈米的結構時,用來將圖案轉移至材料中的硬遮罩層會在侵蝕 性的蝕刻劑下暴露一段頗長的時間。長時間暴露在侵蝕性蝕刻劑下之後,不具備足夠蝕刻抗性的硬遮罩層可能發生變化,導致圖案轉移不精確且失去尺寸控制。
因此,對於具有高機械強度的硬遮罩需求大幅提高。然而,在處理腔室中形成此種硬遮罩之後,留在處理腔室內的沉積殘留物或堆積物經常難以去除。累積在處理腔室之表面或腔室構件上的沉積殘留物或堆積物可能變成令人討厭且可能污染基板的粒子來源。為維持處理腔室的潔淨,在處理腔室中處理每個基板或處理一定數目的基板之後,會定期進行清潔製程。然而,由於由高機械強度之硬遮罩所生成的沉積殘留物或堆積物通常難以去除,因此當清潔處理腔室時,習知的清潔製程常常無法達到充分清潔的效果,進而導致處理腔室的清潔度不足以用來沉積高品質膜層的不良結果。
因此,需要一種在進行沉積製程之後用來去除累積在腔室構件上之沉積殘留物或堆積物的改進方法,以便用來增進處理腔室的清潔度。
本發明提供用於清潔處理腔室以去除沉積腔室中之非晶含碳殘留物的方法。該清潔製程在清潔製程期間使用低頻率RF偏壓功率。在一實施例中,清潔處理腔室的方法包括供應清潔氣體混合物進入處理腔室中,對配置在該處理腔室內的基板支座組件施加約2MHz或更低的RF偏壓功率以在該處理腔室內於該清潔氣體混合物中形成電漿,並去除該處 理腔室中的沉積殘留物。
在另一實施例中,一種在非晶碳層沉積製程之後用於清潔處理腔室的方法包括:在配置於該處理腔室中的基板上進行非晶碳層沉積製程,及將該上方沉積有非晶碳層的基板移除之後,在該處理腔室中進行清潔製程,其中該清潔製程進一步包括:供應清潔氣體混合物進入該處理腔室中,對配置在該處理腔室中的基板支座組件施加約2MHz或更低的RF偏壓功率以在該處理腔室內於該清潔氣體混合物中形成電漿,及去除該處理腔室中的沉積殘留物。
在又另一實施例中,一種在非晶碳層沉積製程之後用於清潔處理腔室的方法包括:在該處理腔室中進行沉積製程之後進行清潔製程,其中該清潔製程進一步包括:供應清潔氣體混合物進入處理腔室中且該清潔氣體混合物包括至少一含氧氣體,對配置在該處理腔室中的基板支座組件施加約2MHz或更低的RF偏壓功率以在該處理腔室內於該清潔氣體混合物中形成電漿,及去除該處理腔室中的沉積殘留物。
100‧‧‧處理腔室
101‧‧‧基板
102‧‧‧腔室主體
103‧‧‧表面
104‧‧‧蓋/頂蓋
106‧‧‧內部體積
108‧‧‧側壁
110‧‧‧底部
114‧‧‧內部表面
118‧‧‧內襯
126‧‧‧排氣口
128‧‧‧幫浦系統
130‧‧‧噴淋頭組件
132’‧‧‧入口
132”‧‧‧入口
134‧‧‧內部區域
136‧‧‧外部區域
138‧‧‧透射區/透射通道
140‧‧‧監控系統/光學測量系統
141‧‧‧匹配電路
142‧‧‧視窗
143‧‧‧RF功率源
148‧‧‧基板支座組件
158‧‧‧氣體分配盤
162‧‧‧安裝板
164‧‧‧基底
166‧‧‧靜電卡盤
168‧‧‧導管
170‧‧‧導管
172‧‧‧流體源
174‧‧‧隔離物
176‧‧‧加熱器
177‧‧‧遠端電漿源
178‧‧‧功率源
180‧‧‧夾鉗電極
182‧‧‧電源
184‧‧‧偏壓功率源
186‧‧‧偏壓功率源
188‧‧‧匹配電路
189‧‧‧偏壓功率源
190‧‧‧溫度感測器
192‧‧‧溫度感測器
200‧‧‧處理腔室
201‧‧‧側壁
202‧‧‧真空幫浦
204‧‧‧夾鉗電源
206‧‧‧電源
210‧‧‧控制器
212‧‧‧中央處理器
214‧‧‧支援電路
216‧‧‧記憶體
218‧‧‧訊號匯流排
220‧‧‧噴淋頭組件
222‧‧‧底部室壁
224‧‧‧頂部
226‧‧‧內部體積
228‧‧‧孔
230‧‧‧氣體分配盤
231‧‧‧匹配電路
232‧‧‧處理製程腔室
235‧‧‧偏壓功率源
237‧‧‧偏壓功率源
238‧‧‧匹配電路
239‧‧‧夾鉗電極
240‧‧‧RF功率源
250‧‧‧基板支座組件
270‧‧‧加熱器元件
271‧‧‧遠端電漿源
272‧‧‧溫度感測器
300‧‧‧製程
301‧‧‧步驟
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
309‧‧‧步驟
為求詳細瞭解並達成本發明上述特徵,可參考附圖所示的數個本發明實施例更具體地說明以上簡要闡述的本發明。
第1圖圖示適用於實施本發明實施例的設備概要圖;第2圖圖示適用於實施本發明實施例之設備的另一實施例概要圖;及 第3圖為根據本發明實施例圖示用於去除沉積殘留物與堆積物之清潔製程的流程圖。
為幫助瞭解,盡可能地使用相同元件符號來代表該等圖式中共同的相同元件。無需多做說明,便可思及一實施例中的元件及特徵可有利地併入其他實施例中。
然而應注意的是,該等附圖僅示出本發明的代表性實施例,故而該等附圖不應視為本發明範圍的限制,就本發明而言,尚容許做出其他等效實施例。
本發明提供一種用於清潔處理腔室以去除非晶含碳殘留物及/或堆積物的方法。在一實施例中,處理腔室可用來形成非晶碳層,該非晶碳層適合用來作為硬遮罩層(hardmask layer)。在沉積製程之前或之後,可進行該清潔製程以去除該處理腔室中的非晶含碳殘留物及/或堆積物,以便提供具有能進行高品質沉積製程所需要之期望清潔度的沉積環境。在一實施例中,在該清潔製程期間,可施用低頻率RF偏壓功率來進行該清潔製程,以加強清潔該處理腔室的底部。
第1圖是處理腔室之實施例100的剖面圖,該處理腔室100適合在非晶碳層沉積製程之前或之後用來進行清潔製程以清潔該處理腔室。經調適而適合可與本案教示內容並用的處理腔室包括,例如,改良版ENABLER®處理腔室(modified ENABLER®,可購自位於美國加州聖克拉拉的應用材料公司)。儘管圖中示出的處理腔室100包含能夠使用低頻率RF偏壓功率進行非晶含碳殘留物及/或堆積物清潔製程的 複數個特徵,但能預期到其他處理腔室可經過調適而可受益於文中所揭示之發明特徵的其中一個或更多個特徵。
處理腔室100包括腔室主體102及蓋104,腔室主體102及蓋104圈圍出內部體積106。腔室主體102通常是由鋁、不鏽鋼或其他合適的材料所製成。腔室主體102通常包括側壁108及底部110。基板進出口(圖中未示出)通常界定在側壁108中,並利用狹縫閥(slit valve)選擇性地密封該基板進出口以便於基板101進入或離開該處理腔室100。排氣口126界定在腔室主體102中,且該排氣口126連接內部體積106與幫浦系統128。幫浦系統128通常包括一個或更多個幫浦及節流閥以用於抽空及調節該處理腔室100之內部體積106的壓力。在一實施例中,幫浦系統128使內部體積106內的壓力維持在通常介於約10毫托耳(mTorr)至約20托耳之間的操作壓力。
在腔室主體102的側壁108上密封地支撐著蓋104。蓋104可開啟以允許進入該處理腔室100的內部體積106。蓋104包含視窗142,視窗142有助於進行光學製程監控。在一實施例中,視窗142由石英或其他可供光學監控系統140所使用之訊號穿透的適當材料所形成。
光學監控系統140配置成可透過視窗142來觀看腔室主體102的內部體積106及/或位在基板支座組件148上的基板101之其中至少一者。在一實施例中,光學監控系統140連接至蓋104並有助於進行整合式沉積製程,整合式沉積製程使用光學方法提供資訊而能進行製程調整,藉以根據需求 來補償入料基板圖案特徵的不一致性(例如,厚度及諸如此類者),提供製程狀態監控(例如,電漿監控、溫度監控及諸如此類者)。其中一種可進行調整而受益於本發明的光學監控系統是EyeD®全光譜式干涉測量模組,該模組可購自於位在美國加州聖克拉拉的應用材料公司。
氣體分配盤158連接處理腔室100以提供製程氣體及/或清潔氣體至內部體積106。在第1圖所示的實施例中,蓋104中提供入口132’及入口132”以允許從氣體分配盤158輸送氣體至該處理腔室100的內部體積106。
噴淋頭組件130連接該蓋104的內部表面114。噴淋頭組件130包含複數個孔,該複數個孔允許氣體從入口132流入並流過噴淋頭組件130而進入該處理腔室100的內部體積106中,並以預定的分配模式流至該腔室100中欲接受處理之基板101的整個表面上。
遠端電漿源177可連接至氣體分配盤158以便於在遠端電漿的氣體混合物進入該內部體積106中進行處理之前,使來自遠端電漿的氣體混合物解離。射頻(RF)電源143透過匹配電路141而連接至噴淋頭組件130。RF功率源143通常能以範圍在約50kHz至約13.56MHz間的可調頻率產生高達約3000W的功率。
噴淋頭組件130額外包含光學測量訊號透射區。該光學透射區或通道138適合讓光學監控系統140觀看內部體積106及/或位在基板支座組件148上的基板101。通道138可為形成或配置在噴淋頭組件130中的材料、一孔或複數個 孔,該等材料或孔實質上可讓光學測量系統140所產生的能量波長通過並可讓該能量波長反射回該光學測量系統140。在一實施例中,通道138包括視窗142以防止氣體泄漏至通道138。142窗口可為藍寶石板、石英板或其他合適的材料。窗口142或可配置在蓋104中。
在一實施例中,噴淋頭組件130配備有複數個區域,該複數個區域能個別控制氣體流入處理腔室100的內部體積106中。在第1圖的實施例中,噴淋頭組件130具有內部區域134及外部區域136,內部區域134及外部區域136透過個別的入口132而分別連接至氣體分配盤158。
基板支座組件148配置在處理腔室100的內部體積106中且位於噴淋頭組件130下方。基板支座組件148在處理期間固持著基板101。基板支座組件148通常包括複數個升舉銷(圖中未示出),該等升舉銷配置成貫穿該基板支座組件148,且該等升舉銷配置成可從基板支座組件148上舉起基板101以便於採習知方式利用機器人(圖中未示出)交換基板101。內襯118可緊密地圈圍著基板支座組件148的邊緣。
在一實施例中,基板支座組件148包括安裝板162、基底164及靜電卡盤166。安裝板162包含可供線路設施(例如流體、電線及感測器引線,等等)使用的通道,且安裝板162連接至腔室主體102的底部110及連接至基底164和靜電卡盤166。靜電卡盤166包括至少一個夾鉗電極180以用於使基板101維持位於噴淋頭組件130下方。如習知般,利用卡盤電源182驅動靜電卡盤166的夾鉗電極180以建立靜電力, 靜電力使基板101固定於卡盤表面。或者,可利用夾鉗、真空或重力使基板101固定於基板支座組件148。
基底164或靜電卡盤166至少其中之一可包括至少一個選用性的嵌入式加熱器176、至少一個選用性的嵌入式隔離物174及複數個導管168與導管170以用於控制基板支座組件148的橫向溫度分佈。該等導管168與導管170流體連接至流體源172以在該等導管內循環流經溫度調節流體。電源178調控加熱器176。該等導管168、導管170及加熱器176用來控制基底164的溫度,從而加熱及/或冷卻靜電卡盤166。可使用複數個溫度感測器190及溫度感測器192監控靜電卡盤166及基底164的溫度。靜電卡盤166可進一步包括複數個氣體通道(圖中未示出),例如溝槽,該等溝槽形成在卡盤166的基板支撐表面中並流體連接至熱交換氣體源或背側氣體源,例如氦氣(He)。操作時,以受控制的壓力提供背側氣體進入該等氣體通道中以增進靜電卡盤166與基板101之間的熱交換作用。
在一實施例中,基板支座組件148配置作為陰極(cathode)且包含電極180,電極180連接至複數個RF偏壓功率源184及RF偏壓功率源186。該等RF偏壓功率源184及RF偏壓功率源186連接在配置於基板支座組件148內的電極180與另一個電極之間,該另一個電極可例如為噴淋頭組件130或腔室主體102的頂蓋104。RF偏壓功率激發位在該腔室主體102之處理區域內的氣體而形成電漿放電並維持該電漿放電。
在第1圖所示實施例中,雙RF偏壓功率源184及186透過匹配電路188連接至配置在基板支座組件148中的電極180。該等RF偏壓功率源184與RF偏壓功率源186所產生的訊號經由匹配電路188傳送至基板支座組件148而透過單一饋電(single feed)使供應至該電漿處理腔室100中的氣體混合物離子化,從而提供進行沉積或其他電漿增強製程所需的離子能量。RF偏壓功率源184與RF偏壓功率源186通常能產生頻率約50kHz至約200MHz且功率介於約0瓦(Watt)至約5000瓦的RF訊號。附加的偏壓功率源189可連接電極180以控制電漿的特性。
在一操作模式中,基板101配置在電漿處理腔室100中的基板支座組件148上。將製程氣體及/或氣體混合物從氣體分配盤158經由噴淋頭組件130引入腔室主體102中。再者,可從遠端電漿源177供應附加氣體並使該等附加氣體通過噴淋頭組件130而到達處理腔室100。真空幫浦系統128維持腔室主體102內部的壓力,同時可去除沉積副產物。真空幫浦系統128通常使操作壓力維持在約10毫托耳至約20托耳之間。
RF功率源143及RF偏壓功率源184和RF偏壓功率源186分別透過匹配電路141及匹配電路188提供不同頻率的射頻(RF)源及偏壓功率至陽極及/或陰極,從而提供能量以在腔室主體102中形成電漿並激發該氣體混合物成為離子以進行電漿製程,在此實例中,可進行如以下參照第3圖做進一步描述的清潔製程。
第2圖是根據本發明實施例所做之另一基板處理製程腔室232的概要圖,該腔室232可在進行非晶碳層沉積製程之前或之後,用於進行處理腔室清潔製程以清洗非晶碳殘留物及/或堆積物。可用於實施本發明之系統的其他實例包括CENTURA®沉積系統、PRECISION 5000®沉積系統及PRODUCER®沉積系統,該等系統皆可購自位於美國加州聖克拉拉的應用材料公司。可思及到其他處理系統(包括從其他製造商處取得的處理系統在內)亦可能適用於實施本發明。
處理製程腔室232包括處理腔室200,該處理腔室200連接至氣體分配盤230及控制器210。處理腔室200大體上包括頂部224、側壁201及底部室壁222,頂部224、側壁201及底部室壁222界定出內部體積226。腔室200的內部體積226中設有基板支座組件250。基板支座組件250可由鋁、陶瓷及其他合適的材料製成。在一實施例中,基板支座組件250是由陶瓷材料(例如,氮化鋁)所製成,該陶瓷材料是一種適用於高溫環境(例如,電漿製程環境)中而不會對基板支座組件250造成熱損壞的材料。可利用升舉機構(圖中未示出)在腔室200內部垂直移動基板支座組件250。
基板支座組件250可包括嵌入式加熱器元件270,該加熱器元件270適用於控制該基板支座組件250上所支撐之基板101的溫度。在一實施例中,可由電源206對該加熱器元件270施加電流以電阻加熱方式加熱該基板支座組件250。在一實施例中,加熱器元件270可由封裝在鎳-鐵-鉻合金(例如,INCOLOY®)套管中的鎳-鉻絲所製成。利用控制器 210調整電源206所供應的電流以控制加熱器元件270所產生的熱,從而在膜層沉積期間使基板101及基板支座組件250維持實質恆定溫度。可調整所供應的電流以選擇性地將該基板支座組件250的溫度控制在約100℃至約780℃間,例如大於500℃。
基板支座組件250中可嵌入溫度感測器272(例如,熱電偶)以習知方式監控基板支座組件250的溫度。控制器210使用所測得的溫度來控制供應給加熱器元件270的功率以使該基板101維持期望的溫度。
基板支座組件250包括至少一個夾鉗電極239以用於將基板101固持在噴淋頭組件130下方。如習知般,利用卡盤電源204驅動夾鉗電極239以建立靜電力,該靜電力將基板101固定於基板表面。或者,可利用夾鉗、真空或重力使基板101固定於基板支座組件250。
在一實施例中,基板支座組件250配置作為陰極且連接至複數個RF偏壓功率源235及RF偏壓功率源237。該等RF偏壓功率源235及RF偏壓功率源237連接在配置於基板支座組件250內的電極239與另一個電極(例如,噴淋頭組件220)之間。RF偏壓功率激發位在處理腔室100中的氣體而形成電漿放電並維持該電漿放電。在第2圖所示的實施例中,雙RF偏壓功率源235及237透過匹配電路231連接至電極239。該等RF偏壓功率源235與RF偏壓功率源237所產生的訊號經由匹配電路231傳送至配置在基板支座組件250中的電極239而透過單一饋電(single feed)使該電漿處理腔室200 中所提供的氣體混合物離子化,從而提供進行沉積或其他電漿增強製程所需的離子能量。RF偏壓功率源235與RF偏壓功率源237通常能產生頻率約50kHz至約200MHz且功率介於約0瓦至約5000瓦的RF訊號。應理解可使用另一個選用性的RF偏壓或功率源來控制電漿特性。
真空幫浦202連接至形成在腔室200側壁中的端口。真空幫浦202用於使該處理腔室200中維持期望的氣體壓力。真空幫浦202亦排出該腔室200中的處理後氣體及製程副產物。
噴淋頭組件220具有複數個孔228,噴淋頭組件220連接於處理腔室200的頂部224且位在基板支座組件250上方。噴淋頭組件220的孔228是用來將製程氣體引入腔室200中。該等孔228可具有不同的尺寸、數目、分佈、形狀、設計及直徑以針對不同製程需求來幫助各種製程氣體流入。噴淋頭組件220連接至氣體分配盤230,氣體分配盤230允許在製程期間供應各種氣體至內部體積226。遠端電漿源271可連接至氣體分配盤230以便於在遠端電漿的氣體混合物進入該內部體積226中進行處理之前,使來自遠端電漿的氣體混合物解離。從噴淋頭組件220出來的製程氣體混合物形成電漿以增強該等製程氣體的熱分解反應而使材料沉積在基板101的表面103上。
噴淋頭組件220及基板支座組件250可在內部體積226中形成一對間隔開的電極。一個或更多個RF功率源240、235、237分別透過匹配電路238、匹配電路231提供偏壓電 位源至噴淋頭組件220或提供至基板支座組件250以便於在噴淋頭組件220與基板支座組件250之間生成電漿。或者,RF功率源240、偏壓功率源235、偏壓功率源237及匹配電路238可連接至噴淋頭組件220、基板支座組件250,或連接至噴淋頭組件220及基板支座組件250兩者,或在另一替代配置方案中可連接至配置在腔室200外部的天線(圖中未示出)。在一實施例中,RF功率源240可提供頻率約50kHz至約13.56MHz且介於約500瓦至約3000瓦間的功率。
控制器210包括中央處理單元(CPU)212、記憶體216及支援電路214以用於控制製程程序並調節來自氣體分配盤230的氣流。CPU 212可為任一種形式且可用於工業環境中的通用型電腦處理器。軟體常式可存儲在記憶體216中,例如隨機存取記憶體、唯獨記憶體、軟碟或硬碟或其他形式的數位存儲器。支援電路214可採習知方式連接至中央處理單元212並可包括快取、時鐘電路、輸入/輸出系統、電源及諸如此類者。經由諸多訊號纜線(統稱為訊號匯流排218)來操作該控制器210與該處理製程腔室232之各種構件之間的雙向通訊,第2圖中示出一部分的訊號纜線。
以上所述的腔室主要做為示範說明之用,亦可使用其他電漿處理腔室來實施本發明實施例。
第3圖圖示可在非晶碳層沉積製程之前或之後用來清潔處理腔室(例如,第1圖所示之處理腔室100或第2圖所示之處理腔室232)之方法300的製程流程圖。
藉著傳送基板以開始進行方法300的選用步驟 301,例如將第1圖及第2圖中所示的基板101傳送至合適的處理腔室中(例如第1圖所示的處理腔室100或第2圖所示的處理腔室232)。在不執行選用步驟301的實施例中,方法300可始於步驟302以在處理腔室中進行清潔製程。在選用步驟301中,基板101可具有實質平坦表面、不平坦表面或在表面上具有結構的實質平坦表面。在一實施例中,基板101可具有材料層,該等材料層可以是膜層堆疊的一部分,該膜層堆疊可在前段製程或後段製程中用來形成閘極結構、接觸結構、互連結構或淺溝槽隔離(STI)結構。在無材料層的實施例中,選用步驟301可直接形成於基板101中。
在一實施例中,該材料層可為矽層以用於形成閘極。在另一實施例中,該材料層可包括氧化矽層,氧化矽層沉積在矽層上。在又另一實施例中,該材料層可包括用來製造半導體元件的一個或更多個其他介電材料層。視需求而定,介電層的適當實例包括氧化矽、氮化矽、氮氧化矽、碳化矽或任何具有低介電常數或孔洞的適當介電材料。在又另一實施例中,該材料層不包括任何金屬層。
隨後在選用步驟301進行非晶碳沉積製程以在基板101上形成非晶碳層。可供應沉積氣體混合物至用於進行沉積製程的處理腔室100、處理腔室232中以進行非晶碳沉積製程。沉積氣體混合物包括至少一種烴化合物(hydrocarbon)氣體及惰性氣體。在一實施例中,烴化合物氣體具有化學式CxHy,其中x的範圍介在1至12之間,及y的範圍介於4至26之間。更具體而言,脂族烴化合物包括,例如,烷烴(例如,甲 烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、壬烷、癸烷,及諸如此類者);烯烴(例如,丙烯、乙烯、丙烯、丁烯、戊烯,及諸如此類者);二烯烴(例如,己二烯、丁二烯、異戊二烯、戊二烯及諸如此類者);炔烴(例如,乙炔、乙烯基乙炔及諸如此類者)。脂環族烴包括,例如,環丙烷、環丁烷、環戊烷、環戊二烯、甲苯及諸如此類者。芳族烴包括,例如,苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃及諸如此類者。此外,可使用α-萜品烯(alpha-terpinene)、異丙基甲苯(cymene)、1,1,3,3-四甲基丁基苯(1,1,3,3,-tetramethylbutylbenzene)、叔丁基醚(t-butylether)、叔丁基乙烯(t-butylethylene)、甲基丙烯酸甲酯(methyl-methacrylate)及糠基叔丁醚(t-butylfurfurylether)。此外,可選擇α-萜品烯、異丙基甲苯、1,1,3,3-四甲基丁基苯、叔丁基醚(t-butylether)、叔丁基乙烯、甲基丙烯酸甲酯及糠基叔丁醚。在示例性實施例中,該等烴化合物為丙烯、乙炔、乙烯、丙烯、丁烯、甲苯、α-萜品烯。在特定實施例中,該烴化合物為丙烯(C3H6)或乙炔。
或者,供應至該處理腔室中之沉積氣體混合物中的該等烴化合物氣體可與一種或更多種的烴化合物氣體混合。可使用由兩種或更多種烴化合物氣體所形成的混合物來沉積非晶碳層。該惰性氣體,例如氬氣(Ar)或氦氣(He)隨同該氣體混合物供應至該處理腔室100及處理腔室232。亦可使用其他載氣(例如氮氣(N2)及氧化氮(NO)、氫氣(H2)、氨(NH3)、氫氣(H2)與氮氣(N2)的混合物,或上述氣體之組合物)來控制該非 晶碳層的密度及沉積速度。添加H2及/或NH3可用來控制所沉積之非晶碳層的氫比例(例如,碳與氫的比例)。該非晶碳層中的氫比例可用來控制膜層性質,例如反射率、應力、透明度及密度。在一實施例中,惰性氣體(諸如氬氣(Ar)或氦氣(He))隨同烴化合物氣體(例如,丙烯(C3H6)或乙炔)供應至該處理腔室中以沉積該非晶碳層。該沉積氣體混合物中所提供的惰性氣體可幫助控制所沉積之膜層的光學性質及機械性質,例如可控制欲沉積在基板101上之非晶碳層的折射率(n)及吸收係數(k)、硬度、密度及彈性模數。
沉積期間,可提供介於約50瓦至約5000瓦的遠端電漿RF功率給該處理腔室。可施加介於約450瓦至約1000瓦間的RF源功率以維持由該氣體混合物所形成的電漿。在一實施例中,當施加RF源功率至該處理腔室時,可供應雙RF頻率偏壓功率至該處理腔室以幫助在該沉積氣體混合物中形成電漿。可對電極(例如,配置在該處理腔室中的噴淋頭組件或基板或噴淋頭組件與基板兩者)施加雙RF頻率偏壓功率。在此處所示的實施例中,對陰極(例如,第1圖及第2圖中分別所示的基板支座組件148或基板支座組件250)施加雙RF頻率偏壓功率。在一實施例中,選擇第一RF偏壓功率以產生具有約2MHz之第一頻率的偏壓功率,選擇第二RF偏壓功率以產生具有約60MHz之第二頻率的功率。該等RF偏壓功率以第一偏壓功率比上第二偏壓功率為介於1:10至10:1之間的預定功率比例來提供高達約3000瓦的總RF功率。認為第一RF偏壓功率的第一頻率提供廣的離子能量分佈(例如, 較低頻率)。第二RF偏壓功率的第二頻率提供集中(peaked)且明確界定的離子能量分佈(例如,較高頻率)。兩種偏壓頻率的混合可用來調整與直流(DC)電位所產生之平均加速有關的能量分佈。因此,使用具有雙頻RF偏壓功率的電漿增強式處理腔室可控制該電漿中的離子能量分佈。
在一實施例中,藉著在廣的總功率範圍內以不同混合比例來混合使用高頻率(例如,13.56MHz、60MHz、162MHz或更高)偏壓RF訊號與低頻率(例如,2MHz或更低)偏壓射頻訊號可有利地加寬沉積製程容許範圍。可有利地使用該兩種偏壓頻率之偏壓功率的比例來控制離子能量分佈及電漿鞘,從而有助於靈活控制該處理腔室中所生成之碳元素的量及所生成的鍵結能(bonding energy)。在一實例中,當選擇50%的2MHz第一RF偏壓功率及50%的60MHz第二RF偏壓功率時,可得到的有效偏壓功率為約31MHz的RF偏壓功率。藉著操縱以不同RF偏壓頻率所生成的電漿離子分佈及電漿鞘,可在非晶碳層中得到期望的膜層高密度及期望的低應力水平,並達成平衡。在一實施例中,對該處理腔室施加具有第一頻率之第一偏壓功率與具有第二頻率之第二偏壓功率的比例可介於約1:10至10:1之間,例如介於約8:1至1:5之間,例如約7:1至約1:1。第一頻率是大於10MHz(例如介於約10.5MHz至約200MHz)的相對高頻率。第二頻率是小於8MHz(例如介於約0.1MHz至約7MHz)的相對較低頻率。可對該處理腔室施加介於約100瓦至約2000瓦(例如150瓦至約900瓦)之間的第一RF偏壓功率。可對該處理腔室施加介 於約100瓦至約3000瓦(例如500瓦至約2000瓦)之間的第二RF偏壓功率。
沉積製程期間亦可控制數個製程參數。基板溫度可控制在約300℃至約800℃間。在該氣體混合物中可用介於約400sccm至約2000sccm間的速率供應烴化合物(例如,丙烯(C3H6))。在該氣體混合物中可用介於約1200sccm至約8000sccm間的速率供應惰性氣體,例如氬(Ar)氣。製程壓力可維持在約1托耳至約20托耳。基板與噴淋頭之間的間距可控制在約200密耳至約1000密耳。應注意,可由遠端電漿源(例如,第1圖及第2圖中所示的遠端電漿源177、遠端電漿源271)供應該烴化合物氣體以幫助欲供應至該腔室中以用來進行處理的烴化合物氣體解離。
在選用步驟301的沉積製程之後,可在基板101上形成非晶碳層。在雙RF偏壓頻率並配合使用期望的高RF偏壓頻率與低RF偏壓頻率之功率比的情況下,有利於得到具有期望之膜密度及膜應力及膜透明度的膜性質。在一實施例中,可得到大於1.6公克/毫升(g/cc)的膜密度,例如可獲得介於約1.7公克/毫升至約2.3公克/毫升的膜密度。
應注意的是,在步驟301所進行的非晶碳層沉積製程可為任何具有或不具有雙RF偏壓頻率應用的其他適當沉積製程,包括CVD、ALD、PVD或諸如此類者。
在步驟302,可供應清潔氣體混合物至該處理腔室100及處理腔室232中以開始進行處理腔室清潔製程。在一實施例中,該清潔氣體混合物可包括至少一種含氧氣體。當留 在該處理腔室中的殘留物及/或堆積物最有可能是碳系材料(來自於在選用步驟301中所進行的先前沉積製程)時,可使用含氧氣體來去除該含碳殘留物及/或堆積物。該含氧氣體可與含碳殘留物及/或堆積物反應而形成碳氧化合物(carbon oxide)氣體、碳氫化合物(carbon hydrogen)氣體或其他含碳副產物,並可從該處理腔室中抽出該等碳氧化合物氣體、碳氫化合物氣體或其他含碳副產物。該含氧氣體的適當實例包括O2、H2O及O3。亦可在該氣體混合物中加入載氣、惰性氣體或一些其他氣體,藉以幫助該含氧氣體流入該處理腔室中以用來與碳殘留物進行反應並促進反應完全。載氣的適當實例包括N2、O2、N2O、NO2、NH3、H2O、H2、O3及諸如此類者。惰性氣體的適當實例包括N2、Ar、He、Xe及Kr氣。
或者,該清潔氣體混合物可包括附加的含氟氣體。利用由該清潔氣體混合物所形成的電漿使該含氟氣體解離成反應性蝕刻劑。由該清潔氣體混合物中之含氟氣體所解離而成的氟離子可能攻擊含碳殘留物及/或堆積物並與含碳殘留物及/或堆積物發生反應,從而幫助去除該處理腔室中的該等含碳殘留物及/或堆積物。含氟氣體的適當實例可包括NF3、C4F6、C4F8、C2F2、CF4、CHF3、C2F6、C4F6、C5F8、CH2F2、SF6及諸如此類者。在示例性實施例中,該清潔氣體混合物中所使用的含氟氣體是NF3。在一特定實施例中,該清潔氣體混合物包含O2、Ar及選用性的NF3氣體。
在步驟304中,當供應清潔氣體混合物進入該處理腔室中時,可對該處理腔室施加低頻率RF偏壓功率。由於低 頻率RF偏壓功率可提供更多具有垂直且直線狀(straight)離子分佈的離子能量,因此認為提供低頻率RF偏壓功率給該等電極其中之一(第1圖及第2圖所示之基板支座組件148或250或噴淋頭組件130或220任一者)可幫助清潔該處理腔室的底部。反之,認為高頻率RF偏壓功率具有更加集中的離子/電漿密度。因此,藉著選擇具有不同頻率的RF偏壓功率可有效控制離子方向,從而增進局部清潔效率。利用經選擇之低頻率RF功率加速後的離子軌跡與方向可增進該處理腔室中目標位置處的清潔效率,從而有助於特定位置,例如基板支座組件148及基板支座組件250周圍處或處理腔室的底部處(即,基板支座組件148與基板支座組件250之上表面的下方處)的局部清潔效率。
在一實施例中,該清潔製程期間所使用的低頻率RF功率可具有約2MHz或更低的頻率以供應至該等電極(例如,基板支座組件或噴淋頭)其中之一,例如可供應至基板支座組件。在一實例中,選擇該低頻率RF功率以產生約2MHz低頻率的偏壓功率。提供至該處理腔室的低頻率RF偏壓功率可介於約100瓦至約2000瓦。
除了在該清潔製程期間所施加的低頻率RF偏壓功率之外,亦可隨同該低頻率RF偏壓功率一併施加RF源功率。如第1圖及第2圖中所示,RF功率源143、RF功率源240可對噴淋頭組件130、噴淋頭組件220施加功率,同時低頻率RF偏壓功率可施加於基板支座組件148或基板支座組件250。可施加RF源功率以維持該清潔氣體混合物中的電漿。 例如,可用約13.56MHz或60MHz的頻率施加約100瓦至約1000瓦RF源功率以維持該處理腔室內的電漿。
在某些實施例中,如有需要,於該清潔製程期間亦可將來自遠端電漿源(RPS)177、遠端電漿源271的功率施加於該處理腔室。在有施加或無施加RF源功率的情況下,該RPS(遠端電漿源)功率隨同該低頻率RF偏壓功率可施加於該處理腔室。在一實施例中,該清潔製程期間所施加的RPS功率是介於約1000瓦至約10000瓦之間。
在該清潔製程期間,可調整數個製程參數以控制該清潔製程。在一示例性實施例中,調整該處理腔室中的製程壓力至介於約100毫托耳至約10000毫托耳之間。基板溫度維持在約15℃至約450℃間。
於步驟306,在該清潔製程期間,基板支座組件148或基板支座組件250可垂直移動,以便清潔該處理腔室100、處理腔室232的底部(例如,位在基板支座組件148或基板支座組件250之頂表面附近或下方的部分)。在基板期間,基板可能位在或沒有位在基板支座組件149、基板基座組件250上。在某些情況中,如有需要,可使用檔片(dummy substrate)放置在基板支座組件148、基板支座組件150上。如上述,在習知的清潔製程中,通常將含有清潔反應劑的電漿分佈在基板支座組件148、基板支座組件250上方,因此通常主要是清潔腔室的側壁或位在基板支座組件148及250上方的表面。因此,藉著在清潔製程期間,使用低頻率RF偏壓功率(其可使離子沿垂直方向到達腔室底部)並配合移動基板支座組件 148、基板支座組件250,可使該電漿中更大量的清潔反應劑可到達基板支座組件148、基板支座組件250的下方而抵達該處理腔室的底部,從而有效地去除位在該處理腔室底部處的沉積殘留物及/或堆積物。但是,通常停留在基板支座組件148、基板支座組件250上方的電漿可能主要去除腔室側壁、頂壁、基板支座組件148、250上方之暴露表面或腔室其他部位上的沉積殘留物及/或堆積物。在某些情況中,該清潔製程期間所供應的RPS功率及/或RF源功率亦可幫助去除通常位在基板支座組件148上方的沉積殘留物及/或堆積物。
在一實施例中,於清潔製程期間,將基板支座組件148、基板支座組件250控制在約100密耳(mil)至約800密耳之間。在一特定實施例中,於該清潔製程期間,基板支座組件在約200密耳至約700密耳之間做垂直移動。視情況需要,基板支座組件可在一段預定時間(例如約0.01秒至約5秒)內做連續或間斷或往復地移動。
在步驟308中,在該清潔製程進行一段預定的時間長度,且已實質去除並清除掉該處理腔室中的沉積殘留物及/或堆積物之後,便可終止該清潔製程,並提供清潔的環境以供隨後傳送至該處理腔室中的基板進行非晶碳沉積製程。在一實施例中,該清潔製程可進行約60秒至約600秒。
在選用步驟309中,於該清潔製程之後,視情況需要可接著選擇進行非晶碳層沉積製程(類似於步驟301所示的沉積製程)以在基板上沉積非晶碳層。需注意的是,如有需要,可循環或持續性地執行步驟301或步驟309的沉積製程及步 驟302至步驟308所示的清潔製程以(在每次基板製程之後或數次基板處理之後)維持定期清潔,以確保該處理腔室的清潔度。
因此,本發明提供用於進行清潔製程以去除沉積殘留物及/或堆積物的方法。該清潔方法在清潔製程期間使用低RF偏壓功率而有利於清潔處理腔室的底部,從而為該處理腔室提供徹底的清潔製程。可視需求而定,該清潔方法適合在進行電漿處理之前或之後用來清潔其他處理腔室。
儘管上述內容已描述多個本發明實施例,但在不偏離本發明基本範圍下,當可做出本發明的其他與進一步實施例,且本發明範圍由後附請求項所決定。
300‧‧‧製程
301‧‧‧步驟
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
309‧‧‧步驟

Claims (20)

  1. 一種清潔一處理腔室的方法,該方法包括以下步驟:供應一清潔氣體混合物進入一處理腔室中;對配置在該處理腔室中的一基板支座組件施加一約2MHz或更低的RF偏壓功率以在該處理腔室內於該清潔氣體混合物中形成一電漿;及去除該處理腔室中的沉積殘留物。
  2. 如請求項1所述之方法,其中對該處理腔室施加該RF偏壓功率的步驟進一步包括以下步驟:對該基板支座組件施加該RF偏壓功率,同時垂直移動該基板支座組件。
  3. 如請求項1所述之方法,其中對該處理腔室施加該RF偏壓功率的步驟進一步包括以下步驟:對該處理腔室施加一RF源功率。
  4. 如請求項1所述之方法,其中對該處理腔室施加該RF偏壓功率的步驟進一步包括以下步驟:對該處理腔室施加一遠端電漿功率。
  5. 如請求項1所述之方法,其中該清潔氣體混合物包括至少一含氧氣體。
  6. 如請求項5所述之方法,其中該含氧氣體選自於以下群組中:O2、H2O及O3
  7. 如請求項3所述之方法,其中該RF源功率施加於配置在該處理腔室中的一噴淋頭組件。
  8. 如請求項1所述之方法,其中該清潔氣體混合物包括一含氟氣體。
  9. 如請求項8所述之方法,其中該含氟氣體選自於以下群組中:NF3、C4F6、C4F8、C2F2、CF4、CHF3、C2F6、C4F6、C5F8、CH2F2及SF6
  10. 如請求項1所述之方法,其中該清潔氣體混合物包括O2、Ar及NF3
  11. 如請求項1所述之方法,進一步包括以下步驟:清潔該處理腔室之後,於配置在該處理腔室中的一基板上進行一非晶碳層沉積製程。
  12. 如請求項1所述之方法,進一步包括以下步驟:於配置在該處理腔室中的一基板上進行一非晶碳層沉積製程,之後供應該清潔氣體混合物進入該處理腔室中以進行清潔。
  13. 一種在一非晶碳層沉積製程之後用來清潔一處理腔室的方法,該方法包括以下步驟:於配置在一處理腔室中的一基板上進行一非晶碳層沉積製程;及將該上方沉積有該非晶碳層的基板移除之後,在該處理腔室中進行一清潔製程,其中該清潔製程進一步包括以下步驟:供應一清潔氣體混合物進入一處理腔室中;對配置在該處理腔室中的一基板支座組件施加一約2MHz或更低的RF偏壓功率以在該處理腔室內於該清潔氣體混合物中形成一電漿;及去除該處理腔室中的沉積殘留物。
  14. 如請求項13所述之方法,其中對該處理腔室施加該RF偏壓功率的步驟進一步包括以下步驟:對該基板支座組件施加該RF偏壓功率,同時垂直移動該基板支座組件。
  15. 如請求項13所述之方法,其中對該處理腔室施加該RF偏壓功率的步驟進一步包括以下步驟:對該處理腔室施加一RF源功率。
  16. 如請求項13所述之方法,其中對該處理腔室施加該RF 偏壓功率的步驟進一步包括以下步驟:對該處理腔室施加一遠端電漿功率。
  17. 如請求項13所述之方法,其中該清潔氣體混合物包括至少一含氧氣體。
  18. 如請求項15所述之方法,其中該RF源功率施加於配置在該處理腔室中的一噴淋頭組件。
  19. 如請求項13所述之方法,其中該清潔氣體混合物包括O2、Ar及NF3
  20. 一種在一非晶碳層沉積製程之後用於清潔一處理腔室的方法,該方法包括以下步驟:在該處理腔室中進行一沉積製程之後進行一清潔製程,其中該清潔製程進一步包括以下步驟:供應一清潔氣體混合物進入一處理腔室中,該清潔氣體混合物包括至少一含氧氣體;對配置在該處理腔室中的一基板支座組件施加一約2MHz或更低的RF偏壓功率以在該處理腔室內於該清潔氣體混合物中形成一電漿;及去除該處理腔室中的沉積殘留物。
TW104104102A 2014-02-11 2015-02-06 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程 TW201534410A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461938491P 2014-02-11 2014-02-11

Publications (1)

Publication Number Publication Date
TW201534410A true TW201534410A (zh) 2015-09-16

Family

ID=53775530

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104104102A TW201534410A (zh) 2014-02-11 2015-02-06 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程

Country Status (4)

Country Link
US (1) US20150228463A1 (zh)
KR (1) KR20160119849A (zh)
TW (1) TW201534410A (zh)
WO (1) WO2015122981A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI633573B (zh) * 2016-03-03 2018-08-21 大陸商中微半導體設備(上海)有限公司 Plasma processing device and method
CN113473708A (zh) * 2020-03-30 2021-10-01 健鼎(湖北)电子有限公司 一种电路板结构的制作方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN109690730B (zh) * 2016-06-20 2023-03-31 应用材料公司 在高温下去除处理腔室中的硼-碳残留物的清洁工艺
SG11202112556XA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Methods of reducing chamber residues
US11935730B2 (en) 2019-08-01 2024-03-19 Lam Research Corporation Systems and methods for cleaning an edge ring pocket
KR20210145078A (ko) * 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US20210384015A1 (en) * 2020-06-09 2021-12-09 Applied Materials, Inc. Plasma cleaning methods for processing chambers
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US11772137B2 (en) * 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
CN114146987B (zh) * 2021-11-30 2022-11-29 广州发展电力科技有限公司 一种风力发电机转子接地处理方法、***及计算机设备

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3768575B2 (ja) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8721796B2 (en) * 2008-10-23 2014-05-13 Applied Materials, Inc. Plasma cleaning apparatus and method
US20110162674A1 (en) * 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI633573B (zh) * 2016-03-03 2018-08-21 大陸商中微半導體設備(上海)有限公司 Plasma processing device and method
CN113473708A (zh) * 2020-03-30 2021-10-01 健鼎(湖北)电子有限公司 一种电路板结构的制作方法
TWI757013B (zh) * 2020-12-28 2022-03-01 友達光電股份有限公司 控制製程腔室清潔氣體用量的方法及製程處理系統

Also Published As

Publication number Publication date
US20150228463A1 (en) 2015-08-13
KR20160119849A (ko) 2016-10-14
WO2015122981A1 (en) 2015-08-20

Similar Documents

Publication Publication Date Title
TWI760555B (zh) 蝕刻方法
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
TWI704635B (zh) 增進製程均勻性的方法及系統
TW201438062A (zh) 使用雙射頻偏壓頻率施加方式的非晶碳沉積方法
JP5925802B2 (ja) 2段階での均一なドライエッチング
US20210140044A1 (en) Film forming method and film forming apparatus
TWI520212B (zh) 選擇性氮化鈦蝕刻
KR101425629B1 (ko) 실리콘 함유 필름들을 위한 평활 siconi 식각
TWI471448B (zh) 非晶質碳層之高溫沈積的方法
JP6284786B2 (ja) プラズマ処理装置のクリーニング方法
JP2005150622A (ja) プラズマ処理方法及びプラズマ処理装置
KR20180083264A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TW201919127A (zh) 改良之金屬接觸定位結構
TWI766866B (zh) 蝕刻方法
JP6494424B2 (ja) エッチング方法
KR102348077B1 (ko) 플라즈마 처리 방법
KR102152088B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN107731677A (zh) 处理被处理体的方法
EP4022670A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
CN109417029A (zh) 对被处理体进行处理的方法
TWI760472B (zh) 成膜方法
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
US10269578B2 (en) Etching method
JP2023053351A (ja) プラズマ処理装置
TW202022157A (zh) 處理腔室部件之清潔方法