CN102159662A - 用于低k电介质的阻挡物浆料 - Google Patents

用于低k电介质的阻挡物浆料 Download PDF

Info

Publication number
CN102159662A
CN102159662A CN2009801367354A CN200980136735A CN102159662A CN 102159662 A CN102159662 A CN 102159662A CN 2009801367354 A CN2009801367354 A CN 2009801367354A CN 200980136735 A CN200980136735 A CN 200980136735A CN 102159662 A CN102159662 A CN 102159662A
Authority
CN
China
Prior art keywords
polishing composition
polishing
acid
composition comprises
base material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801367354A
Other languages
English (en)
Other versions
CN102159662B (zh
Inventor
李守田
史蒂文·格伦比恩
杰弗里·戴萨德
潘卡杰·辛格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials LLC
Original Assignee
Cabot Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Corp filed Critical Cabot Corp
Publication of CN102159662A publication Critical patent/CN102159662A/zh
Application granted granted Critical
Publication of CN102159662B publication Critical patent/CN102159662B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供用于抛光基材的化学机械抛光组合物。该抛光组合物包含:二氧化硅;选自四烷基铵盐、四烷基鏻盐、咪唑鎓盐及胺取代的硅烷的化合物;具有7个或更多个碳原子的羧酸;氧化金属的氧化剂;和水。本发明进一步提供用上述抛光组合物化学机械地抛光基材的方法。

Description

用于低k电介质的阻挡物浆料
背景技术
用于平坦化或抛光基材表面、尤其是用于化学机械抛光(CMP)的组合物和方法在本领域中是公知的。用在CMP工艺中的抛光组合物(也称为抛光浆料)通常含有在水溶液中的研磨材料,并通过使表面与用抛光组合物饱和的抛光垫接触而施加到该表面上。典型的研磨材料包括氧化铝、二氧化铈、二氧化硅及氧化锆。抛光组合物通常和抛光垫(例如抛光布或盘)一起使用。抛光垫可含有除抛光组合物中的研磨材料以外的研磨材料、或者可含有代替抛光组合物中的研磨材料的研磨材料。
基于二氧化硅的金属间介电层经常被用于隔离形成于基材上的含金属的电路线路。用于这些基于二氧化硅的金属间介电层的抛光组合物已经在半导体工业中尤为良好地发展,并且基于二氧化硅的电介质的抛光和磨损的化学和机械性质被相当好地了解。然而,基于二氧化硅的介电材料的一个问题在于其介电常数相对高,约为3.9或更高,其取决于例如残余水分含量的各种因素。结果,导电层之间的电容也相对高,这又限制了电路可运行的速度(频率)。正在开发的用以增加电路可运行的频率的策略包括:(1)使用具有较低电阻率值的金属(例如,铜)以形成电路线路,以及(2)用相对于二氧化硅而言具有更低介电常数的绝缘材料提供电绝缘。
在介电基材上制造平面铜电路迹线的一种方法称为镶嵌工艺(damascene process)。根据该工艺,在将铜沉积到二氧化硅介电表面上之前,通过常规的干蚀刻方法将所述表面图案化以形成用于垂直和水平互连的孔(即通孔)和沟槽。铜具有作为在制造过程中半导体基材所经历的热循环期间以及在所施加的电场下实际器件运行期间的快速扩散体的性质,并且铜可以快速移动穿过下伏介电层及上覆层间介电(ILD)层而使器件“中毒”。铜扩散穿过基材介电材料导致相邻金属线之间漏电,从而导致器件特性退化并且可能使器件不能发挥作用。因此,通常在铜的沉积之前将扩散阻挡层施加到基材上。工业上已广泛接受钽及氮化钽作为阻挡层材料,钽及氮化钽通常通过物理气相沉积(PVD)施加到基材上。向扩散阻挡层提供铜晶种层,随后由铜镀浴在其上外覆铜层。利用化学机械抛光减小铜上覆层的厚度以及移除铺于孔及沟槽之外的扩散阻挡层,直至获得暴露出介电表面的升高部分的平坦表面。通孔及沟槽仍填充有形成电路互连的导电铜。
通常地,在制造过程中采用至少两个抛光步骤,其中第一抛光步骤移除大部分过多的铜,且随后的抛光步骤移除阻挡材料以暴露下面的介电层。增加使用具有相对于二氧化硅较低的介电常数的绝缘材料(通常称为“低k电介质”)导致在开发新的抛光组合物及方法上的新的挑战。包含多孔金属氧化物、多孔或无孔的碳掺杂的氧化硅及氟掺杂的氧化硅的低k介电材料通常比常规的基于氧化硅的介电材料更软且更易碎。在移除基于钽的阻挡材料及软的低k介电材料方面有效的抛光组合物的开发因此复杂化。此外,低k介电材料的化学性质(chemistry)不同于常规的基于氧化硅的介电材料的化学性质,当用常规的化学机械抛光组合物进行抛光时经常展现出无法接受的低移除速率。
此外,在一些方案中,用较硬的常规的基于二氧化硅的介电材料覆盖软的低k介电材料以容许更大程度地控制平坦化。在抛光期间还必须移除所覆盖的电介质以暴露出下面的低k介电材料。因此,能够以相当(comparable)的速率移除常规的基于二氧化硅的介电材料及低k介电材料的抛光组合物是合乎期望的。
发明内容
本发明提供化学机械抛光组合物,其包含:(a)二氧化硅;(b)选自四烷基铵盐、四烷基鏻盐、吡啶鎓盐、咪唑鎓盐及胺取代的硅烷的化合物;(c)具有7个或更多个碳原子的羧酸;(d)氧化金属的氧化剂;(e)任选的螯合剂;(f)任选的杀生物剂;和(g)水。
本发明还提供化学机械地抛光基材的方法,该方法包括:(i)使基材与化学机械抛光组合物接触,(ii)使该抛光组合物相对于该基材移动,其间有该化学机械抛光组合物,及(iii)磨除该基材的至少一部分以抛光该基材,其中,所述化学机械抛光组合物包含:(a)二氧化硅,(b)选自四烷基铵盐、四烷基鏻盐、吡啶鎓盐、咪唑鎓盐及胺取代的硅烷的化合物,(c)具有7个或更多个碳原子的羧酸,(d)氧化剂,其氧化基材的至少一部分,和(e)水。
具体实施方式
本发明提供化学机械抛光组合物,其包含以下物质、基本上由以下物质组成、或由以下物质组成:(a)二氧化硅;(b)选自四烷基铵盐、四烷基鏻盐、吡啶鎓盐、咪唑鎓盐及胺取代的硅烷的化合物;(c)具有7个或更多个碳原子的羧酸;(d)氧化金属的氧化剂;和(e)水。
该抛光组合物包含二氧化硅,期望地,所述二氧化硅悬浮于液体载体(例如水)中。期望地,该抛光组合物不包含除二氧化硅以外的研磨剂。所述二氧化硅通常为颗粒形式。优选地,该二氧化硅包含胶体二氧化硅颗粒、基本上由胶体二氧化硅颗粒组成、或者由胶体二氧化硅颗粒组成。胶体二氧化硅颗粒是经由湿法工艺制备的并且通常是非聚集的、单独离散的颗粒,其在形状上通常是球形的或接近球形的,但可具有其它形状(例如,具有通常为椭圆形、正方形或矩形横截面的形状)。这样的颗粒在结构上通常不同于热解二氧化硅颗粒,所述热解二氧化硅颗粒是经由热解法或火焰水解法制备的并且为聚集的初级颗粒的链状结构。
优选地,胶体二氧化硅为沉淀或缩聚二氧化硅,其可使用本领域普通技术人员已知的任何方法例如通过溶胶凝胶法或通过硅酸盐离子交换来制备。缩聚二氧化硅颗粒通常通过使Si(OH)4缩合以形成基本上为球形的颗粒来制备。前体Si(OH)4可例如通过高纯度烷氧基硅烷的水解或通过硅酸盐水溶液的酸化来获得。这样的研磨剂颗粒可以根据美国专利5,230,833制备或者可以作为各种市售产品中的任何产品而获得,所述各种市售产品例如为EKAChemicals的BINDZIL 50/80、30/310及40/130产品,Fuso PL-1、PL-2、PL-3及PL-3H产品,及Nalco 1034A、1050、2327及2329产品,以及其它类似的可得自DuPont、Bayer、Applied Research、Nissan Chemical(SNOWTEX产品)及Clariant的产品。
该抛光组合物中可存在任何合适量的二氧化硅。该抛光组合物可包含0.01重量%或更高(例如0.1重量%)的二氧化硅。可选择地或另外地,该抛光组合物可包含10重量%或更低(例如8重量%或更低、或5重量%或更低)的二氧化硅。因此,举例来说,该抛光组合物可包含0.01重量%~10重量%的二氧化硅(例如0.1重量%~5重量%的二氧化硅)。
二氧化硅颗粒可具有任何合适的粒径。期望地,二氧化硅颗粒具有5nm或更大的平均粒径(例如,10nm或更大、15nm或更大、20nm或更大、或者30nm或更大、或者40nm或更大)。可选择地或另外地,二氧化硅颗粒期望地具有150nm或更小的平均粒径(例如125nm或更小、或者100nm或更小)。优选地,二氧化硅颗粒具有20nm~100nm(例如30nm~80nm、或40nm~70nm)的平均尺寸。对此,粒径是指包裹该颗粒的最小球的直径。
二氧化硅颗粒优选是胶体稳定的。术语胶体是指研磨剂颗粒在水中的悬浮液。胶体稳定性是指悬浮液随时间的保持性。在本发明的上下文中,若出现如下情形便认为二氧化硅颗粒是胶体稳定的:当将二氧化硅颗粒置于100ml量筒中且使其无干扰地静置两小时之时,量筒的底部50ml中的颗粒浓度([B],以g/ml为单位)与量筒的顶部50ml中的颗粒浓度([T],以g/ml为单位)之间的差值除以研磨剂组合物中颗粒的初始浓度([C],以g/ml为单位)小于或等于0.5(即,{[B]-[T]}/[C]≤0.5)。更期望地,[B]-[T]/[C]的值小于或等于0.3,且最优选小于或等于0.1。
该抛光组合物包含选自四烷基铵盐、四烷基鏻盐、咪唑鎓盐及胺取代的硅烷的化合物。上述化合物在本文中称为阳离子化合物。期望地,所述阳离子化合物与二氧化硅颗粒相互作用使得二氧化硅颗粒在该抛光组合物的pH值下具有正的ζ电势。
所述阳离子化合物可为胺取代的硅烷。合适的硅烷化合物包括伯氨基硅烷、仲氨基硅烷、叔氨基硅烷、季氨基硅烷和二足(dipodal)氨基硅烷。该氨基硅烷化合物可为任何合适的氨基硅烷,例如氨基丙基三烷氧基硅烷(即γ-氨基丙基三乙氧基硅烷)、双(2-羟乙基)-3-氨基丙基三烷氧基硅烷、二乙基氨基甲基三烷氧基硅烷、(N,N-二乙基-3-氨基丙基)三烷氧基硅烷、3-(N-苯乙烯基甲基)-2-氨基乙基氨基丙基三烷氧基硅烷、(2-N-苯甲基氨基乙基)-3-氨基丙基三烷氧基硅烷、三烷氧基甲硅烷基丙基-N,N,N-三甲基氯化铵、N-(三烷氧基甲硅烷基乙基)苯甲基-N,N,N-三甲基氯化铵、双(甲基二烷氧基甲硅烷基丙基)-N-甲基胺、双(三烷氧基甲硅烷基丙基)脲、双(3-(三烷氧基甲硅烷基)丙基)-乙二胺、和双(三烷氧基甲硅烷基丙基)胺(如双(三甲氧基甲硅烷基丙基)胺)。上面的氨基硅烷化合物中的烷氧基可被其它可水解的基团(例如,卤素、胺和羧酸根)代替。优选地,该硅烷为二足的或三足的(tripodal)。硅烷化合物的选择部分地取决于正被抛光的基材的类型。
所述阳离子化合物可为四烷基铵盐。优选地,该四烷基铵盐包含具有结构R1R2R3R4N+的阳离子,其中R1、R2、R3及R4独立地为C1-C20烷基,其中R1、R2、R3及R4中的至少一个包含4个或更多个碳原子的链,条件是R1、R2、R3及R4不全为C1烷基。该四烷基铵盐可具有任何适合的阴离子,如氯离子、溴离子、氢氧根、硫酸根、酸式硫酸根、甲基硫酸根、甲磺酸根、对甲苯磺酸根、苯磺酸根及其类似物。适合的四烷基铵阳离子的非限制性实例包括三乙基甲基铵、四乙基铵、三丙基甲基铵、四丙基铵、三丁基甲基铵、三丁基甲基铵、四丁基铵、三丙基甲基铵、四戊基铵、三己基甲基铵、四己基铵及其类似物。
所述阳离子化合物可为四烷基鏻盐。优选地,该四烷基鏻盐包含具有结构R1R2R3R4P+的阳离子,其中R1、R2、R3及R4独立地为C1-C20烷基。该四烷基鏻盐可具有任何适合的阴离子,如氯离子、溴离子、氢氧根、硫酸根、酸式硫酸根、甲基硫酸根、甲磺酸根、对甲苯磺酸根、苯磺酸根及其类似物。适合的四烷基鏻阳离子的非限制性实例包括三乙基甲基鏻、四乙基鏻、三丙基甲基鏻、四丙基鏻、三丁基甲基鏻、四丁基鏻、三戊基甲基鏻、四戊基鏻、三己基甲基鏻、四己基鏻及其类似物。
所述阳离子化合物可为吡啶鎓盐。优选地,该吡啶鎓盐包含具有结构C5H5NR+的阳离子,其中R是任选地被一个或多个羟基取代的C1-C10烷基。该吡啶鎓盐可具有任何适合的阴离子,如氯离子、溴离子、氢氧根、硫酸根、酸式硫酸根、甲基硫酸根、甲磺酸根、对甲苯磺酸根、苯磺酸根及其类似物。适合的吡啶鎓阳离子的非限制性实例包括N-甲基吡啶鎓、N-乙基吡啶鎓、N-丙基吡啶鎓、N-丁基吡啶鎓及其类似物。
所述阳离子化合物可为咪唑鎓盐。优选地,该咪唑鎓盐包含具有以下结构的阳离子:
Figure BDA0000051163300000051
其中R5及R6独立地为C1-C20烷基。该咪唑鎓盐可具有任何适合的阴离子,如氯离子、溴离子、氢氧根、硫酸根、酸式硫酸根、甲基硫酸根、甲磺酸根、对甲苯磺酸根、苯磺酸根及其类似物。适合的咪唑鎓阳离子的非限制性实例包括1,3-二甲基咪唑鎓、3-乙基-1-甲基咪唑鎓、3-丙基-1-甲基咪唑鎓、3-异丙基-1-甲基咪唑鎓、3-丁基-1-甲基咪唑鎓、3-戊基-1-甲基咪唑鎓及其类似物。
该抛光组合物可包含任何适合量的阳离子化合物。该抛光组合物可包含10ppm(例如20ppm、或30ppm、或40ppm、或50ppm)的阳离子化合物。可选择地或另外地,该抛光组合物可包含5000ppm或更低(例如2500ppm或更低、或者2000ppm或更低、或者1500ppm或更低、或者1000ppm或更低、或者750ppm或更低、或者500ppm或更低、或者250ppm或更低)的阳离子化合物。因此,举例来说,该抛光组合物可包含10ppm~5000ppm(例如10ppm~2500ppm、或10ppm~1500ppm、或50ppm~1500ppm、或50ppm~250ppm)的阳离子化合物。
该抛光组合物包含具有7个或更多个碳原子的羧酸。该羧酸可为任何适合的羧酸。优选地,该羧酸包含环状的含碳取代基。更优选地,该羧酸包含至少一个芳环。该羧酸可具有20个或更少个碳原子(例如18个或更少个碳原子、或者16个或更少个碳原子、或者14个或更少个碳原子、或者12个或更少个碳原子、或者10个或更少个碳原子)。在实施方式中,该羧酸为羟基苯甲酸。该羟基苯甲酸可具有1~3个羧酸基及1~3个羟基。优选地,该羟基苯甲酸选自2-羟基苯甲酸、3-羟基苯甲酸、4-羟基苯甲酸、2,3-二羟基苯甲酸、2,4-二羟基苯甲酸、2,5-二羟基苯甲酸、2,6-二羟基苯甲酸及3,5-二羟基苯甲酸。更优选地,该羟基苯甲酸为2-羟基苯甲酸或2,6-二羟基苯甲酸。
在另一实施方式中,该羧酸不含羟基。在该实施方式中,该羧酸优选选自苯甲酸、1,2-苯二甲酸、1,2,4-苯三甲酸、苯丙二酸、环己烷羧酸、反式-环己烷-1,2-二羧酸及苯丙二酸。
该抛光组合物可包含任何适合量的羧酸。该抛光组合物可包含10ppm或更高(例如25ppm或更高、或者50ppm或更高、或者75ppm或更高、或者100ppm或更高)的羧酸。可选择地或另外地,该抛光组合物可包含2000ppm或更低(例如1000ppm或更低、或者800ppm或更低、或者700ppm或更低、或者600ppm或更低、或者500ppm或更低)的羧酸。因此,举例来说,该抛光组合物可包含10ppm~2000ppm(例如50ppm~1000ppm、或100ppm~500ppm)的羧酸。
应当理解,上述化合物中的许多可以盐(例如,金属盐、铵盐、或其类似物)、酸的形式存在,或作为偏盐存在。例如,1,2-苯二甲酸包括1,2-苯二甲酸、以及1,2-苯二甲酸的单盐(例如1,2-苯二甲酸氢钾)和二盐。胺(例如氨基丙基三乙氧基硅烷)包括胺以及胺的酸加成盐(例如氨基丙基三乙氧基硅烷盐酸盐)。
该抛光组合物包含氧化金属的氧化剂。所述氧化剂的作用是氧化基材的至少一部分,例如包含铜和/或钽的一层或多层。该氧化剂可为任何适合的氧化剂。适合的氧化剂的非限制性实例包括过氧化氢、过硫酸盐(例如过硫酸铵)、铁盐(例如硝酸铁)、过氧化氢的固体形式、以及它们的组合。过氧化氢的固体形式包括过碳酸钠、过氧化钙及过氧化镁,当它们溶于水时释放出游离的过氧化氢。优选地,所述氧化剂为过氧化氢。
该抛光组合物可包含任何适合量的氧化剂。该抛光组合物可包含0.1重量%或更高(例如0.2重量%或更高、或者0.5重量%或更高)的氧化剂。可选择地或另外地,该抛光组合物可包含5重量%或更低(例如4重量%或更低、或者3重量%或更低、或者2重量%或更低)的氧化剂。因此,举例来说,该抛光组合物可包含0.1重量%~5重量%(例如0.2重量%~4重量%、或0.5重量%~2重量%)的氧化剂。
该抛光组合物还可含有硼酸。当存在硼酸时,该抛光组合物通常包含100ppm或更高(例如200ppm或更高、或者300ppm或更高)的硼酸。优选地,该抛光组合物包含1000ppm或更低(例如900ppm或更低、或者800ppm或更低)的硼酸。更优选地,该抛光组合物包含100ppm~1000ppm(例如200ppm~900ppm、或者300ppm~800ppm)的硼酸。
该抛光组合物还可含有腐蚀抑制剂。出于本发明的目的,腐蚀抑制剂为促进在正被抛光的基材的表面的至少一部分上形成钝化层(即,溶解抑制层)的任何化合物或化合物的混合物。期望地,该腐蚀抑制剂为用于铜的腐蚀抑制剂。用于铜的腐蚀抑制剂为任何促进在铜上形成钝化层的化合物。当存在用于铜的腐蚀抑制剂时,该抛光组合物通常包含10ppm或更高(例如50ppm或更高、或者100ppm或更高)的用于铜的腐蚀抑制剂。优选地,该抛光组合物包含5000ppm或更低(例如2500ppm或更低、或者1000ppm或更低、或者900ppm或更低、或者800ppm或更低)的用于铜的腐蚀抑制剂。更优选地,该抛光组合物包含10ppm~5000ppm(例如10ppm~2500ppm、或者25ppm~1000ppm、或者50ppm~800ppm)的用于铜的腐蚀抑制剂。优选地,该用于铜的腐蚀抑制剂包含***或四唑基团。更优选地,该用于铜的腐蚀抑制剂为苯并***化合物,该苯并***化合物选自苯并***、4-甲基苯并***、5-甲基苯并***、5-氯苯并***、以及它们的组合。最优选地,该用于铜的腐蚀抑制剂为苯并***。
该抛光组合物可具有任何适合的pH值。该抛光组合物通常具有1或更大(例如2或更大、或者3或更大)的pH值。优选地,该抛光组合物具有6或更小(例如5或更小、或者4或更小)的pH值。更优选地,该抛光组合物具有1~6(例如2~5、或者2~4、或者3~5)的pH值。该抛光组合物可包含pH值调节剂,例如硝酸、硫酸、氢氧化铵及其类似物。该抛光组合物任选地包含pH缓冲体系,例如硼酸盐缓冲剂或酸式硫酸盐缓冲剂。该抛光组合物的pH值可通过任何适合的手段实现和/或维持。更具体地,该抛光组合物可进一步包含pH值调节剂、pH值缓冲剂、或它们的组合。该pH值调节剂可为任何适合的调节pH值的化合物。举例来说,该pH值调节剂可为硝酸、氢氧化钾、或它们的组合。该pH值缓冲剂可为任何适合的缓冲剂,例如磷酸盐、硫酸盐、乙酸盐、硼酸盐、铵盐及其类似物。该抛光组合物可包含任何适合量的pH值调节剂和/或pH值缓冲剂,条件是将适合量的缓冲剂用于使该抛光组合物的pH值实现和/或维持在本文所述范围内。
期望地,在该抛光组合物中,二氧化硅具有大于0mV的ζ电势。颗粒的ζ电势是指围绕该颗粒的离子的电荷与本体溶液(例如,液体载体及任何其它溶解于其中的组分)的电荷之间的差。
该抛光组合物可包含杀生物剂。该杀生物剂可包括任何适合的杀生物剂,例如异噻唑啉酮杀生物剂。在该抛光组合物中的杀生物剂的量通常为1ppm~50ppm,优选为1ppm~20ppm。
该抛光组合物可包含螯合剂。适合的螯合剂的实例包括乙二胺四乙酸、乙二胺四乙酸的偏盐(例如乙二胺四乙酸二钠)及其类似物。
该抛光组合物可由任何合适的技术制备,其中的许多是本领域技术人员已知的。该抛光组合物可以间歇法或连续法制备。通常,该抛光组合物可通过将其各组分以任何顺序进行组合来制备。本文所使用的术语“组分”包括单独的成分(例如,二氧化硅、阳离子化合物、具有7个或更多个碳原子的羧酸、氧化剂等)以及各成分(例如,二氧化硅、阳离子化合物、具有7个或更多个碳原子的羧酸、氧化剂、任选的杀生物剂等)的任何组合。
例如,可将二氧化硅分散在水中。然后,可加入阳离子化合物及具有7个或更多个碳原子的羧酸,并通过任何能够将这些组分结合到抛光组合物中的方法来混合。可在制备抛光组合物期间的任何时候加入氧化剂。抛光组合物可在使用前制备,其中在使用前不久(例如,在使用前1分钟内、或者在使用前1小时内、或者在使用前7天内将一种或多种组分例如氧化剂添加到抛光组合物中。抛光组合物还可通过在抛光操作期间在基材表面上将各组分混合而制备。
该抛光组合物能够以包含二氧化硅、阳离子化合物、具有7个或更多个碳原子的羧酸、任选的杀生物剂及水的单料包体系来供应。可选择地,可将二氧化硅作为在水中的分散体供应在第一容器中,且可将阳离子化合物、具有7个或更多个碳原子的羧酸及任选的杀生物剂以干燥形式或者作为溶液或在水中的分散体供应在第二容器中。期望地,氧化剂与抛光组合物的其他组分分开供应,且例如由最终使用者在使用前不久(例如,在使用前1周或更少、在使用前1日或更少、在使用前1小时或更少、在使用前10分钟或更少、或在使用前1分钟或更少)进行组合。在第一或第二容器中的各组分可为干燥形式,而在其他容器中的各组分可为含水分散体的形式。此外,第一和第二容器中的各组分具有不同的pH值、或可选择地具有基本上相似或甚至相等的pH值是适合的。抛光组合物的各组分的其他两个容器、或者三个或更多个容器的组合在本领域普通技术人员的知识范围内。
本发明的抛光组合物还可以作为意欲在使用前用适量的水进行稀释的浓缩物提供。在这样的实施方式中,抛光组合物浓缩物可包含二氧化硅、阳离子化合物、具有7个或更多个碳原子的羧酸、任选的杀生物剂、以及水,具有或不具有氧化剂,它们的量使得在用适量的水及氧化剂(如果氧化剂尚未以适当的量存在的话)稀释浓缩物时,抛光组合物的各组分将以在上文对各组分所列举的适宜范围内的量存在于抛光组合物中。例如,二氧化硅、阳离子化合物、具有7个或更多个碳原子的羧酸及任选的杀生物剂可各自以在上文对各组分所列举的浓度的2倍(例如,3倍、4倍或5倍)的量存在于该浓缩物中,使得当用等体积的水(例如,分别为2份等体积的水、3份等体积的水、或4份等体积的水)以及适量的氧化剂稀释该浓缩物时,各组分将以在上文对各组分所列举的范围内的量存在于该抛光组合物中。此外,如本领域普通技术人员应理解的,浓缩物可含有存在于最终抛光组合物中的适当比例的水以确保其它组分至少部分或完全溶解于该浓缩物中。
尽管本发明的抛光组合物可用于抛光任何基板,但该抛光组合物尤其可用于抛光包含至少一个含铜的金属层、至少一个含钽的金属层及至少一个介电层的基材。所述金属层可设置于基材上的任何位置,但优选地,至少一个钽层位于至少一个铜层与至少一个介电层之间。所述钽层可包含钽金属或可包含适合的含钽化合物(诸如氮化钽)、或者钽金属与含钽化合物的混合物。当该钽层包含氮化钽时,该氮化钽可包含化学计量的氮化钽(即TaN)或非化学计量的氮化钽(如TaN0.5)。所述钽层还可包含由式TaNxCy(其中x+y≤1)表示的钽与氮及碳的含钽化合物。该介电层可为金属氧化物,例如得自原硅酸四乙酯(TEOS)的氧化硅层、多孔的金属氧化物、多孔或无孔的碳掺杂的氧化硅、氟掺杂的氧化硅、玻璃、有机聚合物、氟化有机聚合物、或任何其它适合的高k或低k绝缘层。优选地,所述介电层是碳掺杂的氧化硅,例如CORALTM和BLACK DIAMONDTM材料,它们分别得自Novellus Systems(San Jose,CA)和Applied Materials(Santa Clara,CA)。
有利地,与现有技术的抛光方法相比,本发明的方法提供了对于低k介电层(特别是对于碳掺杂的氧化硅层)的提高的移除速率。
本发明的抛光方法特别适合与化学-机械抛光(CMP)装置一起使用。通常地,该装置包括:压板,其在使用时处于运动中且具有由轨道、线性或圆周运动导致的速度;抛光垫,其与该压板接触并且在运动时与该压板一起移动;以及载体,其固持待通过与该抛光垫的表面接触且相对于该抛光垫的表面移动来进行抛光的基材。基材的抛光通过如下进行:将基材放置成与抛光垫及本发明的抛光组合物接触,然后使抛光垫相对于该基材移动以磨除该基材的至少一部分(如本文所述的铜、钽、含钽化合物和/或介电材料)以抛光该基材。
基材可用所述化学机械抛光组合物以及任何合适的抛光垫(例如,抛光表面)进行平坦化或抛光。合适的抛光垫包括例如编织及非编织抛光垫。此外,合适的抛光垫可包含任何具有不同密度、硬度、厚度、压缩性、压缩回弹能力以及压缩模量的合适聚合物。合适的聚合物包括例如聚氯乙烯、聚氟乙烯、尼龙、碳氟化合物、聚碳酸酯、聚酯、聚丙烯酸酯、聚醚、聚乙烯、聚酰胺、聚氨酯、聚苯乙烯、聚丙烯、其共形成产物、及其混合物。
合意地,所述CMP装置进一步包括原位抛光终点检测***,其中的许多是本领域中已知的。通过分析从工件表面反射的光或其它辐射来检测及监控抛光过程的技术是本领域中已知的。这样的方法描述于例如美国专利5,196,353、美国专利5,433,651、美国专利5,609,511、美国专利5,643,046、美国专利5,658,183、美国专利5,730,642、美国专利5,838,447、美国专利5,872,633、美国专利5,893,796、美国专利5,949,927及美国专利5,964,643中。合意地,对于正被抛光的工件的抛光过程的进展的检测或监控使得能够确定抛光终点,即,确定何时终止对特定工件的抛光过程。
下列实施例进一步说明本发明,但当然不应解释为以任何方式限制本发明的范围。
在下列实施例中,碳掺杂的氧化硅介电材料为来自Novellus Systems(San Jose,CA)的CORALTM产品(在下文中称为“C掺杂的二氧化硅”),且氧化硅介电材料是由原硅酸四乙酯(在下文中称为“TEOS”)产生的。抛光条件如下:8.9kPa~10.3kPa(1.3psi~1.5psi)的下压力,103rpm的压板速度,97ppm的载体速度及200ml/min的抛光组合物传输速率,使用Politex抛光垫。
实施例1
该实施例证明可由本发明的抛光方法实现的具有7个或更多个碳原子的羧酸对所观察到的铜、钽、C掺杂的二氧化硅及TEOS的移除速率的影响。
用11种不同的抛光组合物(组合物1A~1K)抛光4种基材的11个类似的组,所述基材各自分别包含铜、钽、C掺杂的二氧化硅及TEOS。组合物1A~1K各自包含在水中的2重量%的平均粒径为35nm的胶体二氧化硅、100ppm的3-氨基丙基三乙氧基硅烷、100ppm的硼酸、500ppm的苯并***及1重量%的过氧化氢,pH值为4.1。组合物1A~1K进一步含有各自浓度为2.9mM的硝酸(HNO3)、3,4-二羟基苯甲酸(3,4-HBA)、3-羟基苯甲酸(3-HBA)、4-羟基苯甲酸(4-HBA)、1,2,4-苯三甲酸(1,2,4-BCA)、2,4-二羟基苯甲酸(2,4-HBA)、苯甲酸(BA)、邻苯二甲酸(PA)、2,5-二羟基苯甲酸(2,5-HBA)、2-羟基苯甲酸(2-HBA)及2,6-二羟基苯甲酸(2,6-HBA)。
在抛光之后,测定对于铜、钽、
Figure BDA0000051163300000111
及TEOS的移除速率(“RR”),且结果示于表1中。
表1
Figure BDA0000051163300000121
由表1中所示结果易看出,含有具有7个或更多个碳原子的羧酸的组合物1B~1K展现出的C掺杂的二氧化硅的移除速率比由含有硝酸的对照抛光组合物所展现出的C掺杂的二氧化硅的移除速率高出大约20%~387%。
实施例2
该实施例证明可由本发明实现的具有7个或更多个碳原子的羧酸对所观察到的钽、
Figure BDA0000051163300000122
及TEOS的移除速率的影响。
用11种不同的抛光组合物(组合物2A~2K)抛光4种基材的33个类似的组,所述基材各自分别包含TEOS、C掺杂的二氧化硅及钽。组合物2A~2K各自是在4.5、4.0或3.5的pH值下配制的,且组合物2A~2K各自包含在水中的2重量%的平均粒径为35nm的胶体二氧化硅、100ppm的3-氨基丙基三乙氧基硅烷、500ppm的苯并***及1重量%的过氧化氢。组合物2A~2K进一步分别包含浓度为2.9mM的硝酸、2-羟基苯甲酸(2-HBA)、丙二酸、苯丙二酸、环己烷羧酸、反式-环己烷-1,2-二羧酸、连苯三酚、邻苯二酚、反式-1,2-环己烷二醇、2-氨基苯酚及4-甲氧基苯酚。
在抛光之后,测定对于TEOS、C掺杂的二氧化硅及钽(“Ta”)的移除速率(单位为
Figure BDA0000051163300000123
/min),且结果示于表2中。
表2
Figure BDA0000051163300000131
由表2中所示结果易看出,含有具有7个或更多个碳原子的羧酸的组合物2B、2D、2E、2F及2G展现出的C掺杂的二氧化硅的移除速率在4.5的pH值下比含有硝酸的对照抛光组合物高出约62%~190%,在4.0的pH值下比含有硝酸的对照抛光组合物高出9.5%~237%,且在3.5的pH值下比含有硝酸的对照抛光组合物高出-21%~184%。
买施例3
该实施例证明可由本发明的抛光方法实现的氢氧化四丁基鏻(“TBPH”)(即四烷基鏻盐)及2,6-二羟基苯甲酸(“2,6-HBA”)(即具有7个或更多个碳原子的羧酸)对所观察到的C掺杂的二氧化硅及TEOS的移除速率的影响。
用14种不同的抛光组合物(组合物3A~3N)抛光4种基材的14个类似的组,所述基材各自分别包含TEOS及C掺杂的二氧化硅。组合物3A~3N各自包含在水中的4重量%的平均粒径为20nm的胶体二氧化硅、500ppm的苯并***、10ppm的乙二胺四乙酸及1重量%的过氧化氢,pH值为3.5。组合物3B~3N进一步含有表3所示的量的氢氧化四丁基鏻、或者氢氧化四丁基鏻+2,6-羟基苯甲酸。
在抛光之后,测定对于TEOS及C掺杂的二氧化硅的移除速率,且结果示于表3中。
表3
由表3中所示结果易看出,组合物3G所展现出的C掺杂的二氧化硅的移除速率比由组合物3D展现出的C掺杂的二氧化硅的移除速率高出大约64%,其中,所述组合物3G含有500ppm的氢氧化四丁基鏻及800ppm的2,6-羟基苯甲酸,所述组合物3D含有500ppm的氢氧化四丁基鏻但不含有2,6-羟基苯甲酸。组合物3L所展现出的C掺杂的二氧化硅的移除速率比由组合物3H展现出的C掺杂的二氧化硅的移除速率高出大约275%,其中,所述组合物3L含有1000ppm的氢氧化四丁基鏻及1800ppm的2,6-羟基苯甲酸,所述组合物3H含有1000ppm的氢氧化四丁基鏻但不含有2,6-羟基苯甲酸。组合物3M及3N所展现出的C掺杂的二氧化硅的移除速率分别为由组合物3L展现出的C掺杂的二氧化硅的移除速率的98%和96%,其中,所述组合物3M及3N含有1000ppm的氢氧化四丁基鏻且分别含有2500ppm和3000ppm的2,6-羟基苯甲酸。由组合物3B展现出的C掺杂的二氧化硅移除速率似乎为异常结果。
实施例4
该实施例证明可由本发明的抛光方法实现的咪唑鎓盐与具有7个或更多个碳原子的羧酸的组合对所观察到的C掺杂的二氧化硅、TEOS及钽的移除速率的影响。
用6种不同的抛光组合物(组合物4A~4F)抛光3种基材的6个类似的组,所述基材各自分别包含TEOS、、及钽。组合物4A~4F各自包含在水中的4重量%的平均粒径为20nm的胶体二氧化硅、具有表4所示的量的3-丁基-1-甲基-1H-咪唑鎓氯化物(“BMI”)、250ppm的苯并***、10ppm的乙二胺四乙酸及1重量%的过氧化氢,pH值为3.5。组合物4B~4F进一步含有表4所示的量的2,6-二羟基苯甲酸(“2,6-HBA”),而组合物4A不含2,6-HBA。
在抛光之后,测定对于TEOS、C掺杂的二氧化硅及钽的移除速率,且结果示于表4中。
表4
由表4中所示结果易看出,组合物4B所展现出的C掺杂的二氧化硅的移除速率比由组合物4A展现出的C掺杂的二氧化硅的移除速率高出大约157%,且组合物4B所展现出的TEOS的移除速率大约为由组合物4A展现出的TEOS移除速率的72%,其中,所述组合物4B含有650ppm的BMI及1300ppm的2,6-HBA,所述组合物4A不含任何2,6-HBA。组合物4F所展现出的C掺杂的二氧化硅的移除速率大约为由组合物4B展现出的C掺杂的二氧化硅的移除速率的92%,组合物4F含有975ppm的BMI及1300ppm的2,6-HBA,组合物4B含有650ppm的BMI及1300ppm的2,6-HBA。组合物4D所展现出的C掺杂的二氧化硅的移除速率大约为由组合物4B展现出的C掺杂的二氧化硅的移除速率的89%,且组合物4D所展现出的TEOS的移除速率大约为由组合物4B展现出的TEOS的移除速率的76%,其中,所述组合物4D含有325ppm的BMI及1300ppm的2,6-HBA,所述组合物4B含有650ppm的BMI及1300ppm的2,6-HBA。
实施例5
该实施例证明可由本发明的抛光方法实现的四烷基铵盐中的烷基链长度对所观察到的C掺杂的二氧化硅、TEOS及钽的移除速率的影响。
用6种不同的抛光组合物(组合物5A~5F)抛光3种基材的6个类似的组,所述基材各自分别包含TEOS、C掺杂的二氧化硅及钽,所述抛光组合物各自在3.5或4.0的pH值下配制。组合物5A~5C进一步含有1000ppm作为阳离子组分的氢氧化四丁基铵(“TBAH”),其中组合物5B和5C还进一步含有2,6-二羟基苯甲酸(“2,6-HBA”)。组合物5D~5F进一步含有1000ppm的氢氧化四甲基铵(“TMAH”),其中组合物5E和5F还进一步含有2,6-HBA。各组合物中的2,6-HBA的量及各组合物的pH值示于表5中。
在抛光之后,测定对于TEOS、C掺杂的二氧化硅及钽的移除速率,且结果示于表5中。
表5
Figure BDA0000051163300000171
显然,组合物5B所展现出的C掺杂的二氧化硅和TEOS的移除速率在3.5的pH值下分别为组合物5A的大约7.6倍和4.0倍,在4.0的pH值下分别为组合物5A的大约8.2倍和3.5倍,其中,所述组合物5B含有1000ppm的氢氧化四丁基铵及650ppm的2,6-HBA,所述组合物5A含有1000ppm的氢氧化四丁基铵但不含任何2,6-HBA。组合物5C所展现出的C掺杂的二氧化硅和TEOS的移除速率在3.5的pH值下分别为组合物5A的大约28.5倍和3.2倍,在4.0的pH值下分别为组合物5A的大约27.9倍和3.3倍,其中,所述组合物5C含有1000ppm的氢氧化四丁基铵及1300ppm的2,6-HBA。组合物5E和5F所最多展现出的C掺杂的二氧化硅和TEOS的移除速率分别为组合物5D的大约2.2倍和1.6倍,其中,所述组合物5E和5F含有1000ppm的氢氧化四甲基铵及分别为650ppm或1300ppm的2,6-HBA,所述组合物5D含有1000ppm的氢氧化四甲基铵但不含任何2,6-HBA。
实施例6
该实施例证明可由本发明的抛光方法实现的具有7个或更多个碳原子的羧酸对所观察到的C掺杂的二氧化硅、TEOS及钽的移除速率的影响。
配制组合物6A和6B,并将它们用于抛光包含TEOS、C掺杂的二氧化硅及钽的基材。组合物6A和6B两者均在4.2的pH值下配制,且含有在水中的2重量%的平均粒径为35nm的胶体二氧化硅、150ppm的双(三甲氧基甲硅烷基丙基)胺、500ppm的苯并***及1重量%的过氧化氢。组合物6A和6B进一步含有浓度分别为2.9mM的乙酸(对照)及二羟基苯甲酸(本发明)。所述抛光是在300mm的晶片上使用1.5psi的下压力进行的。
在抛光之后,测定对于TEOS、C掺杂的二氧化硅及钽(“Ta”)的移除速率(单位为
Figure BDA0000051163300000181
/mmin),且结果示于表6中。
表6
  TEOS   C掺杂的二氧化硅   Ta
  6A(对照)   1096   381   783
  6B(本发明)   1226   746   800
由表6中的数据易看出,与含有乙酸的组合物相比,含有具有7个或更多个碳原子的羧酸的组合物表现出对于所有基材的更高的移除速率。

Claims (46)

1.一种化学机械抛光组合物,其包含:
(a)二氧化硅,
(b)阳离子化合物,其选自:四烷基铵盐、四烷基鏻盐、吡啶鎓盐、咪唑鎓盐、及胺取代的硅烷,
(c)具有7个或更多个碳原子的羧酸,
(d)氧化金属的氧化剂,及
(e)水。
2.权利要求1的抛光组合物,其中该抛光组合物包含0.1重量%~5重量%的二氧化硅。
3.权利要求1的抛光组合物,其中该二氧化硅具有20nm~100nm的平均粒径。
4.权利要求1的抛光组合物,其中该抛光组合物包含胺取代的硅烷。
5.权利要求4的抛光组合物,其中该胺取代的硅烷选自:氨基丙基三烷氧基硅烷、双(2-羟乙基)-3-氨基丙基三烷氧基硅烷、二乙基氨基甲基三烷氧基硅烷、(N,N-二乙基-3-氨基丙基)三烷氧基硅烷、3-(N-苯乙烯基甲基)-2-氨基乙基氨基丙基三烷氧基硅烷、(2-N-苯甲基氨基乙基)-3-氨基丙基三烷氧基硅烷、三烷氧基甲硅烷基丙基-N,N,N-三甲基氯化铵、N-(三烷氧基甲硅烷基乙基)苯甲基-N,N,N-三甲基氯化铵、双(甲基二烷氧基甲硅烷基丙基)-N-甲基胺、双(三烷氧基甲硅烷基丙基)脲、双(3-(三烷氧基甲硅烷基)丙基)-乙二胺及双(3-(三烷氧基甲硅烷基)丙基)胺。
6.权利要求4的抛光组合物,其中该抛光组合物包含50ppm~500ppm的所述胺取代的硅烷。
7.权利要求1的抛光组合物,其中该抛光组合物包含四烷基铵盐。
8.权利要求7的抛光组合物,其中该抛光组合物包含50ppm~1500ppm的所述四烷基铵盐。
9.权利要求1的抛光组合物,其中该抛光组合物包含四烷基鏻盐。
10.权利要求9的抛光组合物,其中该抛光组合物包含10ppm~1500ppm的所述四烷基鏻盐。
11.权利要求1的抛光组合物,其中该抛光组合物包含吡啶鎓盐。
12.权利要求11的抛光组合物,其中该抛光组合物包含10ppm~1000ppm的所述吡啶鎓盐。
13.权利要求1的抛光组合物,其中该抛光组合物包含咪唑鎓盐。
14.权利要求13的抛光组合物,其中该抛光组合物包含10ppm~1000ppm的所述咪唑鎓盐。
15.权利要求1的抛光组合物,其中该羧酸包含环状的含碳取代基。
16.权利要求15的抛光组合物,其中该羧酸选自:2-羟基苯甲酸、3-羟基苯甲酸、4-羟基苯甲酸、2,3-二羟基苯甲酸、2,4-二羟基苯甲酸、2,5-二羟基苯甲酸、2,6-二羟基苯甲酸及3,5-二羟基苯甲酸。
17.权利要求16的抛光组合物,其中该羧酸为2-羟基苯甲酸。
18.权利要求16的抛光组合物,其中该羧酸为2,6-二羟基苯甲酸。
19.权利要求1的抛光组合物,其中该羧酸选自:苯甲酸、1,2-苯二甲酸、1,2,4-苯三甲酸、苯丙二酸、环己烷羧酸、反式-环己烷-1,2-二羧酸及苯丙二酸。
20.权利要求19的抛光组合物,其中该抛光组合物包含10ppm~2000ppm的所述羧酸。
21.权利要求1的抛光组合物,其中该氧化剂为过氧化氢。
22.权利要求1的抛光组合物,其中该抛光组合物进一步包含腐蚀抑制剂。
23.权利要求22的抛光组合物,其中该腐蚀抑制剂包含***或四唑基团。
24.权利要求22的抛光组合物,其中该腐蚀抑制剂为苯并***。
25.权利要求22的抛光组合物,其中该抛光组合物包含10ppm~1000ppm的所述腐蚀抑制剂。
26.权利要求1的抛光组合物,其中该抛光组合物具有3~5的pH值。
27.一种化学机械地抛光基材的方法,该方法包括:
(i)使基材与化学机械抛光组合物接触,该化学机械抛光组合物包含:
(a)二氧化硅,
(b)选自四烷基铵盐、四烷基鏻盐、咪唑鎓盐及胺取代的硅烷的化合物,
(c)具有7个或更多个碳原子的羧酸,
(d)氧化剂,其氧化基材的至少一部分,及
(e)水,
(ii)使该抛光组合物相对于该基材移动,其间有该化学机械抛光组合物,及
(iii)磨除该基材的至少一部分以抛光该基材。
28.权利要求27的方法,其中该抛光组合物包含0.1重量%~5重量%的二氧化硅。
29.权利要求27的方法,其中该二氧化硅具有20nm~100nm的平均粒径。
30.权利要求27的方法,其中该抛光组合物包含胺取代的硅烷。
31.权利要求30的方法,其中该胺取代的硅烷选自:氨基丙基三烷氧基硅烷、双(2-羟乙基)-3-氨基丙基三烷氧基硅烷、二乙基氨基甲基三烷氧基硅烷、(N,N-二乙基-3-氨基丙基)三烷氧基硅烷、3-(N-苯乙烯基甲基)-2-氨基乙基氨基丙基三烷氧基硅烷、(2-N-苯甲基氨基乙基)-3-氨基丙基三烷氧基硅烷、三烷氧基甲硅烷基丙基-N,N,N-三甲基氯化铵、N-(三烷氧基甲硅烷基乙基)苯甲基-N,N,N-三甲基氯化铵、双(甲基二烷氧基甲硅烷基丙基)-N-甲基胺、双(三烷氧基甲硅烷基丙基)脲、双(3-(三烷氧基甲硅烷基)丙基)-乙二胺及双(3-(三烷氧基甲硅烷基)丙基)胺。
32.权利要求27的方法,其中该抛光组合物包含50ppm~500ppm的所述胺取代的硅烷。
33.权利要求27的方法,其中该抛光组合物包含四烷基铵盐。
34.权利要求33的方法,其中该抛光组合物包含50ppm~1500ppm的所述四烷基铵盐。
35.权利要求27的方法,其中该抛光组合物包含四烷基鏻盐。
36.权利要求35的方法,其中该抛光组合物包含50ppm~250ppm的所述四烷基鏻盐。
37.权利要求27的方法,其中该抛光组合物包含吡啶鎓盐。
38.权利要求37的方法,其中该抛光组合物包含50ppm~250ppm的所述吡啶鎓盐。
39.权利要求27的方法,其中该抛光组合物包含咪唑鎓盐。
40.权利要求39的方法,其中该抛光组合物包含50ppm~250ppm的所述咪唑鎓盐。
41.权利要求27的方法,其中该羧酸包含环状的含碳取代基。
42.权利要求27的方法,其中该抛光组合物包含100ppm~500ppm的所述羧酸。
43.权利要求27的方法,其中该基材包含二氧化硅,且从该基材移除该二氧化硅的一部分以抛光该基材。
44.权利要求43的方法,其中该二氧化硅为碳掺杂的二氧化硅。
45.权利要求44的方法,其中该基材进一步包含钽,且从该基材移除该钽的一部分以抛光该基材。
46.权利要求45的方法,其中该基材进一步包含铜,且从该基材移除该铜的一部分以抛光该基材。
CN200980136735.4A 2008-09-19 2009-09-03 用于低k电介质的阻挡物浆料 Active CN102159662B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9860008P 2008-09-19 2008-09-19
US61/098,600 2008-09-19
PCT/US2009/004973 WO2010033156A2 (en) 2008-09-19 2009-09-03 Barrier slurry for low-k dielectrics

Publications (2)

Publication Number Publication Date
CN102159662A true CN102159662A (zh) 2011-08-17
CN102159662B CN102159662B (zh) 2014-05-21

Family

ID=42038105

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980136735.4A Active CN102159662B (zh) 2008-09-19 2009-09-03 用于低k电介质的阻挡物浆料

Country Status (9)

Country Link
US (1) US8252687B2 (zh)
EP (1) EP2356192B1 (zh)
JP (1) JP5619009B2 (zh)
KR (1) KR101247890B1 (zh)
CN (1) CN102159662B (zh)
IL (1) IL211576A (zh)
MY (1) MY150487A (zh)
TW (1) TWI388639B (zh)
WO (1) WO2010033156A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104862482A (zh) * 2014-02-18 2015-08-26 纳米及先进材料研发院有限公司 从混合金属氧化物选择性回收有价金属的方法
WO2016106766A1 (zh) * 2014-12-29 2016-07-07 高嫄 一种化学机械抛光液及其应用
CN110713836A (zh) * 2018-07-13 2020-01-21 Oci有限公司 硅衬底蚀刻溶液
CN111183195A (zh) * 2017-10-03 2020-05-19 嘉柏微电子材料股份公司 用于钨磨光应用的经表面处理的研磨剂颗粒
CN115247027A (zh) * 2021-04-27 2022-10-28 罗门哈斯电子材料Cmp控股股份有限公司 具有增强的缺陷抑制的抛光组合物和抛光衬底的方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG184772A1 (en) * 2007-09-21 2012-10-30 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
EP2389417B1 (en) 2009-01-20 2017-03-15 Cabot Corporation Compositons comprising silane modified metal oxides
CN108977173A (zh) * 2012-06-11 2018-12-11 嘉柏微电子材料股份公司 用于抛光钼的组合物和方法
US10358579B2 (en) * 2013-12-03 2019-07-23 Cabot Microelectronics Corporation CMP compositions and methods for polishing nickel phosphorous surfaces
US9850402B2 (en) * 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303189B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9127187B1 (en) 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9303190B2 (en) 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP2015189829A (ja) * 2014-03-27 2015-11-02 株式会社フジミインコーポレーテッド 研磨用組成物
JP6491245B2 (ja) * 2014-06-25 2019-03-27 キャボット マイクロエレクトロニクス コーポレイション コロイダルシリカ化学機械研磨組成物
TWI561621B (en) 2014-06-25 2016-12-11 Cabot Microelectronics Corp Tungsten chemical-mechanical polishing composition
CN106661431B (zh) * 2014-06-25 2019-06-28 嘉柏微电子材料股份公司 铜阻挡物的化学机械抛光组合物
US9868902B2 (en) * 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
KR102418496B1 (ko) * 2014-12-24 2022-07-08 솔브레인 주식회사 화학적 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조방법
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9771496B2 (en) 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
US9534148B1 (en) 2015-12-21 2017-01-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing semiconductor substrate
WO2017117404A1 (en) * 2015-12-29 2017-07-06 Cabot Microelectronics Corporation Cmp processing composition comprising alkylamine and cyclodextrin
WO2017120396A1 (en) * 2016-01-06 2017-07-13 Cabot Microelectronics Corporation Method of polishing a low-k substrate
KR102649775B1 (ko) * 2016-09-28 2024-03-20 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 4차 포스포늄 화합물을 포함하는 조성물 및 방법을 사용하는 텅스텐의 화학 기계적 연마
US10586914B2 (en) * 2016-10-14 2020-03-10 Applied Materials, Inc. Method of forming ultra-smooth bottom electrode surface for depositing magnetic tunnel junctions
WO2018179061A1 (ja) * 2017-03-27 2018-10-04 日立化成株式会社 研磨液、研磨液セット及び研磨方法
JP7028120B2 (ja) * 2018-09-20 2022-03-02 Jsr株式会社 化学機械研磨用水系分散体及びその製造方法、並びに化学機械研磨方法
TWI755060B (zh) * 2019-11-15 2022-02-11 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
KR20220000284A (ko) * 2020-06-25 2022-01-03 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물
US20220348788A1 (en) * 2021-04-27 2022-11-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing composition and method of polishing a substrate having enhanced defect reduction
KR20240051552A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법
KR20240051553A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법
KR20240051550A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법
KR20240051551A (ko) 2022-10-13 2024-04-22 솔브레인 주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 제조방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6582761B1 (en) * 1999-11-22 2003-06-24 Jsr Corporation Method of production of composited particle, composited particle produced by this method and aqueous dispersion for chemical mechanical polishing containing this composited particle, and method of production of aqueous dispersion for chemical mechanical polishing
US20060249482A1 (en) * 2003-05-12 2006-11-09 Peter Wrschka Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US20070181534A1 (en) * 2006-02-07 2007-08-09 Fujifilm Corporation Barrier polishing liquid and chemical mechanical polishing method
CN101177592A (zh) * 2003-09-30 2008-05-14 福吉米株式会社 抛光组合物

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
FR2789998B1 (fr) * 1999-02-18 2005-10-07 Clariant France Sa Nouvelle composition de polissage mecano-chimique d'une couche en un materiau conducteur d'aluminium ou d'alliage d'aluminium
EP1739146A3 (en) * 1999-07-07 2007-01-24 Cabot Microelectronics Corporation CMP compositions containing silane modified abrasive particles
US7070485B2 (en) * 2000-02-02 2006-07-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing composition
WO2001057919A1 (fr) 2000-02-04 2001-08-09 Showa Denko K. K. Composite de polissage destine a etre utilise dans la fabrication des circuits lsi, et procede de fabrication de circuits lsi
JP2001345295A (ja) * 2000-03-31 2001-12-14 Nikko Materials Co Ltd 化学機械研磨用スラリー
JPWO2004100242A1 (ja) * 2003-05-09 2006-07-13 三洋化成工業株式会社 Cmpプロセス用研磨液及び研磨方法
US7186653B2 (en) * 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
US20050090104A1 (en) * 2003-10-27 2005-04-28 Kai Yang Slurry compositions for chemical mechanical polishing of copper and barrier films
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
JP2007053214A (ja) * 2005-08-17 2007-03-01 Sumitomo Bakelite Co Ltd 研磨用組成物
JP2007095946A (ja) * 2005-09-28 2007-04-12 Fujifilm Corp 金属用研磨液及び研磨方法
JP2007273910A (ja) * 2006-03-31 2007-10-18 Fujifilm Corp 研磨用組成液
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20080020680A1 (en) * 2006-07-24 2008-01-24 Cabot Microelectronics Corporation Rate-enhanced CMP compositions for dielectric films
US9129907B2 (en) * 2006-09-08 2015-09-08 Cabot Microelectronics Corporation Onium-containing CMP compositions and methods of use thereof
JP5094112B2 (ja) * 2006-12-28 2012-12-12 富士フイルム株式会社 研磨液
JP5094139B2 (ja) * 2007-01-23 2012-12-12 富士フイルム株式会社 研磨液
JP5202258B2 (ja) * 2008-03-25 2013-06-05 富士フイルム株式会社 金属研磨用組成物、及び化学的機械的研磨方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6582761B1 (en) * 1999-11-22 2003-06-24 Jsr Corporation Method of production of composited particle, composited particle produced by this method and aqueous dispersion for chemical mechanical polishing containing this composited particle, and method of production of aqueous dispersion for chemical mechanical polishing
US20060249482A1 (en) * 2003-05-12 2006-11-09 Peter Wrschka Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
CN101177592A (zh) * 2003-09-30 2008-05-14 福吉米株式会社 抛光组合物
US20070181534A1 (en) * 2006-02-07 2007-08-09 Fujifilm Corporation Barrier polishing liquid and chemical mechanical polishing method

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104862482A (zh) * 2014-02-18 2015-08-26 纳米及先进材料研发院有限公司 从混合金属氧化物选择性回收有价金属的方法
WO2016106766A1 (zh) * 2014-12-29 2016-07-07 高嫄 一种化学机械抛光液及其应用
CN111183195A (zh) * 2017-10-03 2020-05-19 嘉柏微电子材料股份公司 用于钨磨光应用的经表面处理的研磨剂颗粒
CN111183195B (zh) * 2017-10-03 2022-05-10 Cmc材料股份有限公司 用于钨磨光应用的经表面处理的研磨剂颗粒
CN110713836A (zh) * 2018-07-13 2020-01-21 Oci有限公司 硅衬底蚀刻溶液
CN110713836B (zh) * 2018-07-13 2022-07-12 Oci有限公司 硅衬底蚀刻溶液
CN115247027A (zh) * 2021-04-27 2022-10-28 罗门哈斯电子材料Cmp控股股份有限公司 具有增强的缺陷抑制的抛光组合物和抛光衬底的方法
CN115247027B (zh) * 2021-04-27 2024-03-01 罗门哈斯电子材料Cmp控股股份有限公司 具有增强的缺陷抑制的抛光组合物和抛光衬底的方法

Also Published As

Publication number Publication date
US8252687B2 (en) 2012-08-28
MY150487A (en) 2014-01-30
TWI388639B (zh) 2013-03-11
TW201016807A (en) 2010-05-01
KR20110069107A (ko) 2011-06-22
EP2356192A4 (en) 2013-05-22
KR101247890B1 (ko) 2013-03-26
JP5619009B2 (ja) 2014-11-05
WO2010033156A3 (en) 2010-05-20
EP2356192B1 (en) 2020-01-15
WO2010033156A2 (en) 2010-03-25
JP2012503329A (ja) 2012-02-02
IL211576A (en) 2014-05-28
IL211576A0 (en) 2011-05-31
EP2356192A2 (en) 2011-08-17
CN102159662B (zh) 2014-05-21
US20100075502A1 (en) 2010-03-25

Similar Documents

Publication Publication Date Title
CN102159662A (zh) 用于低k电介质的阻挡物浆料
US11034862B2 (en) Polishing composition and method utilizing abrasive particles treated with an aminosilane
KR102073260B1 (ko) 연마용 조성물 및 그것을 사용한 연마 방법 및 기판의 제조 방법
KR101325333B1 (ko) 유전체 필름을 위한 속도 개선 cmp 조성물
US9012327B2 (en) Low defect chemical mechanical polishing composition
EP1812523A2 (en) Metal ion-containing cmp composition and method for using the same
WO2018012176A1 (ja) 研磨用組成物、研磨用組成物の製造方法および研磨方法
EP2069451B1 (en) Gallium and chromium ions for oxide removal rate enhancement
US10894901B2 (en) Method for producing polishing composition and polishing method
CN116323485A (zh) 经表面改性的二氧化硅颗粒和包含该颗粒的组合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Illinois, USA

Patentee after: CMC Materials Co.,Ltd.

Address before: Illinois, USA

Patentee before: CABOT MICROELECTRONICS Corp.

CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Illinois, America

Patentee after: CMC Materials Co.,Ltd.

Address before: Illinois, America

Patentee before: CMC Materials Co.,Ltd.