CN101971298A - 表面处理设备和表面处理方法 - Google Patents

表面处理设备和表面处理方法 Download PDF

Info

Publication number
CN101971298A
CN101971298A CN2007801021569A CN200780102156A CN101971298A CN 101971298 A CN101971298 A CN 101971298A CN 2007801021569 A CN2007801021569 A CN 2007801021569A CN 200780102156 A CN200780102156 A CN 200780102156A CN 101971298 A CN101971298 A CN 101971298A
Authority
CN
China
Prior art keywords
substrate
plasma
chamber
gas
free radical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2007801021569A
Other languages
English (en)
Inventor
清野拓哉
池本学
真下公子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Publication of CN101971298A publication Critical patent/CN101971298A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种表面处理设备,其中,尽管经由导入孔向处理室供给在等离子体生成室中生成的HF衍生自由基并且在自由基导入孔附近供给作为处理气体的HF气体分子、从而抑制激发能量并由此提高Si的选择性以去除自然氧化膜的干法处理,但可以实现能够实现与要求高温处理的湿法清洗等同的良好表面平坦性的表面处理,并且可以在表面处理后的基板上生长Si单晶膜。在Si单晶膜形成之后的基板的表面上,氧和碳等的杂质的量少。在Si单晶膜生长表面上溅射Hf等,之后进行氧化和硝化以形成HfO绝缘膜等的介电绝缘膜。然后形成金属电极膜。在未使基板暴露至空气的情况下执行这些步骤,界面上的杂质吸附被抑制,并且可以提供滞后小的C-V曲线。因此,在MOS-FET中,可以实现良好的器件特性。

Description

表面处理设备和表面处理方法
技术领域
本发明涉及包括IV族半导体的表面的处理的半导体器件的制造设备和制造方法。
背景技术
传统上,对半导体Si基板进行湿法清洗。然而,湿法清洗存在不能够完全去除干燥状态下的水印、不能够控制对非常薄的氧化膜的蚀刻、并需要大型设备等的问题。此外,在湿法清洗之后使该半导体基板长时间暴露至大气时,出现在该半导体基板的表面上形成自然氧化膜并且吸附碳原子从而妨碍Si单晶的成膜、生成膜的凹凸外形、以及在栅极绝缘膜的界面处生成杂质能级等的问题。
因此,在成膜之前,通过施加750℃以上的UHV真空加热或在H2气氛下施加800℃以上的加热来去除表面氧化膜。然而,随着器件的微型化发展并且使用介电绝缘膜/金属电极,需要在较低的温度下制造该器件。因而,需要在650℃以下的温度下进行器件制造。结果,湿法清洗具有限制,并且出现对成膜前在真空下处理半导体基板的干法清洗方法的需求。使用氩等离子体的逆溅射法是该方法的一个例子(日本特开平10-147877)。然而,认为所公开的方法还切断半导体基板的表面上的Si-Si键。在这种情况下,出现在Si缺失的部分上立即形成氧化膜、污染物很可能附着至Si的悬键、以及所溅射的氧化物和污染物再次附着至基板的侧壁等的问题。这些问题不利地影响后续步骤(如妨碍外延生长和在硅化物界面上形成高阻抗部分等)。此外,对器件的损坏也成为问题。
日本特开2004-63521描述在使用等离子化的F2气体从基板的表面去除氧化硅膜之后,照射氢自由基以去除附着至该基板的表面的F成分。日本特开平04-96226描述在使用F2气体从基板的表面去除Si自然氧化膜之后,向该基板照射自由基化的氢以利用氢终止键合操作。然而,由于等离子化的F2气体不仅包含自由基化的氟气而且包含离子化的氟气,因此产生在从基板的表面去除氧化硅膜时表面凹凸的问题。另外,可能产生不仅去除基板的表面上的氧化硅膜、而且还去除了该基板的一部分的问题。
日本特开2001-102311描述以下:将氟等的清洗气体供给至包括利用具有导入孔的板与放置基板的成膜室分离开的等离子体形成室的等离子体形成部,由此通过在等离子体形成部中生成等离子体来生成自由基,并且经由导入孔向包含基板的成膜空间导入氟自由基,由此将这些自由基照射到基板以清洗该基板。然而,由于半导体基板的表面不能够暴露至自由基的激发能量被抑制的气氛,因此不能够进行高度选择性的Si蚀刻,这引发了不能够在未使表面粗糙度劣化的情况下去除自然氧化膜的问题。
此外,由于半导体基板被暴露至等离子体,因此Si-Si键也断开。在该状态下,出现在Si缺失的部分上立即形成氧化膜、污染物很可能附着至Si的悬键、并且所溅射的氧化物和污染物再次附着至基板的侧壁等的问题。这些问题不利地影响后续步骤(如妨碍外延生长和在硅化物界面上形成高阻抗部分等)。此外,对器件的损坏也成为问题。根据本公开,利用等离子体积极地分解气体,以生成氢自由基和氢离子。当利用氢自由基和氢离子去除基板的表面上的氟残留物时,出现来自室的金属污染、由于基底Si上的蚀刻速度大而发生过度蚀刻等的问题。此外,由于作为反应生成物的HF很可能再次附着至基板的表面,因此没有获得充分的F去除效果。日本特开2002-217169公开了用于在同时施加由高速气体流动所产生的摩擦应力的物理作用的情况下在真空下进行整个去除异物的清洗步骤的设备。根据本公开,抑制了真空搬送期间的杂质吸附和自然氧化物生成,由此提高了生产效率。然而,即使可以去除异物,约为原子层厚度的自然氧化物和表面粗糙度也残留在表面上。即,为了通过在真空下连续搬送来实现器件特性提高的效果,需要用于以约原子层厚度控制Si和自然氧化膜的高度选择性蚀刻的清洗技术,并需要在未使基板暴露至大气的情况下搬送该基板并在该基板上成膜。该类控制技术和真空操作将提供在半导体和介电绝缘膜之间的接合处的界面态低、并且膜中的固定电荷少的良好器件特性。
发明内容
发明要解决的问题
根据相关技术的用于从基板表面去除自然氧化膜和有机物的表面处理,在基板到达下一成膜步骤之前需要在大气中进行搬送。在大气中搬送基板期间,空气中的物质吸附至基板的表面上,并且界面处残留自然氧化膜和碳原子等的杂质,这产生器件特性劣化的问题。当为了在界面处不残留自然氧化膜和碳原子等的杂质而在真空下进行基板处理时,尽管可以去除基板表面上的自然氧化膜以及有机物和碳等的杂质,但基板表面的平坦性劣化。此外,基板表面的平坦性差引起制造成的器件的特性劣化的问题。
用于解决问题的方案
作出本发明以解决以上问题。根据本发明的发明人的调查,经由在将等离子体形成室与处理室分离开的隔板上所形成的多个孔,向处理室导入由等离子体产生的自由基,使这些自由基与单独导入处理室的处理气体混合,由此抑制自由基的激发能量以使得能够以高的Si选择性进行基板表面处理,因而发现可以利用在未使基板表面的平坦性劣化的情况下去除自然氧化膜和有机物的表面处理。
本发明提供一种基板清洗方法,包括以下步骤:将基板放置在处理室中;将等离子体形成气体变为等离子体;经由等离子体分离用的等离子体约束电极板的自由基通过孔,向所述处理室导入等离子体中的自由基;向所述处理室导入处理气体,以使所述处理气体与所述自由基在所述处理室内混合;以及利用所述自由基和所述处理气体的混合气氛,清洗所述基板的表面。
本发明提供一种基板清洗方法,其中,所述基板的表面是IV族半导体材料,并且所述等离子体形成气体和所述处理气体分别包含HF。
本发明提供一种基板清洗方法,其中,所述等离子体分离用的等离子体约束电极板包括用于向所述处理室导入等离子体中的自由基的多个自由基导入孔、以及用于向所述处理室导入所述处理气体的多个处理气体导入孔,由此经由各自的导入孔向所述处理室中的所述基板的表面排出所述自由基和所述处理气体。
本发明提供一种半导体器件的制造方法,包括以下步骤:根据如上所述的基板清洗方法,在清洗室中清洗IV族半导体基板的表面;将清洗后的基板在未使该基板暴露至大气的情况下从所述清洗室经由搬送室搬送至外延室;以及在所述外延室中,在所述基板的表面上外延生长外延单晶层。
本发明提供一种半导体器件的制造方法,包括以下步骤:将根据如上所述的制造方法所制造的具有外延层的基板在未使该基板暴露至大气的情况下从所述外延室经由所述搬送室搬送至溅射室;在所述溅射室中,在所述外延层上溅射介电膜;将具有所述介电膜的基板在未使该基板暴露至大气的情况下从所述溅射室经由所述搬送室搬送至氧化-硝化室;以及在所述氧化-硝化室中,进行所述介电膜的氧化、硝化或氧硝化。
本发明提供一种根据以上方法的半导体器件的制造方法,其中,所述介电膜由从包括Hf、La、Ta、Al、W、Ti、Si和Ge的组中选择出的一个或它们的合金构成。
本发明提供一种根据以上方法的基板清洗方法,其中,通过向所述等离子体形成气体施加高频功率来将所述等离子体形成气体变成等离子体,并且所述高频功率的密度为0.001~0.25W/cm2,优选为0.001~0.125W/cm2,更优选为0.001~0.025W/cm2
本发明提供一种等离子体分离型的基板处理设备,用于通过在真空室中从等离子体形成气体形成等离子体来生成自由基,并利用所述自由基和处理气体进行基板处理,所述基板处理设备包括:等离子体形成室,用于将所导入的等离子体形成气体变成等离子体;处理室,其包含用于放置被处理基板的基板保持件;以及等离子体分离用的等离子体约束电极板,其形成有多个自由基通过孔,并位于所述等离子体形成室和所述处理室之间,所述等离子体约束电极板具有中空结构,形成有朝向所述处理室开口的多个处理气体导入孔,并且布置有用于供给所述处理气体的气体导入管,其中:所述等离子体形成室内部的等离子体形成空间包含用于利用从高频电源供给的功率生成等离子体的高频施加电极;所述高频施加电极具有贯穿通过所述高频施加电极的多个通孔;所述基板处理设备还包含用于向所述等离子体形成室导入所述等离子体形成气体的等离子体形成气体导入簇射板;以及所述等离子体形成气体导入簇射板具有用于向所述高频施加电极导入所述等离子体形成气体的多个气体排出口,其中,所述高频施加电极沿着配置有所述多个自由基通过孔的等离子体分离用的等离子体约束电极板延伸。
本发明提供一种根据以上设备的基板处理设备,其中,在所述基板处理室中,体积比V2/V1为0.01~0.8,其中,V2是所述高频施加电极的所述多个通孔的总体积,并且V1是包括所述通孔的所述高频施加电极的总体积。
本发明提供一种根据以上设备的基板处理设备,其中,施加至所述高频施加电极的高频功率的密度为0.001~0.25W/cm2,优选为0.001~0.125W/cm2,更优选为0.001~0.025W/cm2
本发明提供一种根据以上设备的基板处理设备,其中,导入至所述等离子体形成室的所述等离子体形成气体是包含HF的气体,并且导入至所述处理室的所述处理气体是包含HF的气体。
本发明提供一种半导体器件的制造设备,包括:基板清洗室,包括根据如上所述的基板处理设备;外延生长室,用于在基板上形成外延层;以及搬送室,用于将来自所述基板清洗室的基板在未使该基板暴露至大气的情况下搬送至所述外延生长室。
本发明提供一种根据以上设备的半导体器件的制造设备,其中,还包括用于形成介电膜的溅射室,由此允许将来自所述基板清洗室或所述外延生长室的基板在未使该基板暴露至大气的情况下经由所述搬送室搬送至所述溅射室。
本发明提供一种根据以上设备的半导体器件的制造设备,其中,还包括用于对所述介电膜进行氧化、硝化或氧硝化的氧化-硝化室,由此允许将来自所述基板清洗室、所述外延生长室或所述溅射室的基板在未使该基板暴露至大气的情况下经由所述搬送室搬送至所述氧化-硝化室。
发明的效果
本发明进行如下基板处理:该基板处理与相关技术中的湿法清洗相比较,可以减少半导体基板的表面上的自然氧化膜和有机杂质,并且可以在未使基板表面的平坦性劣化的情况下去除有机氧化膜和有机物。
根据本发明,为了从半导体基板的表面去除自然氧化膜以及有机杂质的污染物,使用HF气体或至少包含HF的混合气体作为等离子体形成气体和处理气体,并且从等离子体形成室向处理室导入自由基,同时向该处理室导入包含HF作为构成元素的气体分子,由此使半导体基板的表面暴露至抑制自由基的激发能量的以上气氛,由此在未使基板表面的平坦性劣化的情况下去除自然氧化膜和有机物。这不会对半导体基板造成金属污染和等离子体损坏。尽管相关技术中的湿法清洗在还施加退火处理等的后续步骤的情况下需要不止一个步骤以进行基板处理,但本发明仅以一个步骤进行基板处理,这高效地实现了期望效果,降低成本,并且大大提高了处理速度。此外,对等离子体形成气体使用簇射板允许均匀地导入生成气体,在电极部上使用通孔允许即使在低功率下也放电,并且使用配置有多个自由基通过孔的等离子体分离用的等离子体约束电极板允许所产生的等离子体中的自由基均匀导入处理室。实行得出约原子层厚度的精细表面粗糙度的表面处理允许在表面上形成单晶Si和SiGe膜。
通过进行基板表面处理的第一步骤和在未使单晶膜暴露至大气的情况下搬送基板的第二步骤,界面处的杂质的量小于在大气搬送时出现的杂质的量,由此实现了良好的装置特性。
通过进行基板表面处理的第一步骤,形成单晶膜的第二步骤,溅射介电材料以形成膜的第三步骤,进行氧化、硝化或氧硝化的第四步骤,以及在真空下搬送金属材料和溅射膜而未使这两者暴露至大气的第五步骤,半导体和绝缘膜之间的接合界面处的杂质的量小于大气搬送时的杂质的量,这提供了与在相关技术中实现的氧化膜等同的界面态密度和膜中的固定电荷密度,得出滞后小的C-V曲线,得出小的泄漏电流,由此实现良好的器件特性。
附图说明
图1是本发明所使用的成膜设备的结构示例的示意图。
图2是本发明所使用的设备中所安装的控制器的示意图。
图3是本发明所使用的表面处理设备的结构示例的示意图。
图4是本发明所使用的表面处理设备的高频施加电极部的结构示例的示意图。
图5是本发明所使用的表面处理设备的等离子体约束电极板部的结构示例的示意图。
图6是示出在本发明的实施例中获得的、高频功率密度变化的情况下的自然氧化膜/Si的图。
图7是本发明所使用的UV、X射线和微波激发自由基表面处理设备的结构示例的示意图。
图8是本发明所使用的催化化学激发自由基表面处理设备的结构示例的示意图。
图9是本发明所使用的表面处理方法的示意图。
图10A和10B是本发明所使用的搬送控制器程序的流程图。
图11是本发明所使用的成膜控制器程序的流程图。
图12是示出通过本发明的实施例所获得的、基板处理之后的表面粗糙度(Ra)和表面上的SEM图像的图。
图13是示出通过本发明的实施例所获得的、等离子体形成气体的比变化的情况下的相对于处理室气体的比的表面粗糙度(Ra)的图。
图14给出通过本发明的实施例所获得的、Si和SiGe生长之后的表面上的SEM图像。
图15是示出通过本发明的实施例所获得的、界面处的氧和碳的原子密度的图。
图16是通过本发明的实施例所获得的C-V曲线。
图17示出在通过本发明的实施例所获得的界面态密度和固定电荷密度与相关技术中的氧化膜的界面态密度和固定电荷密度之间的比较。
图18是示出通过本发明的实施例所获得的等价氧化膜厚度(EOT)和泄漏电流之间的关系的图。
图19是示出通过本发明的处理所制造的MOS-FEF的图。
图20是示出本发明的用于将等离子体形成气体导入等离子体室的气体导入簇射板的效果的、氧化硅膜的蚀刻速度的基板面内分布的图。
具体实施方式
以下将说明本发明的实施例。
以下将参考附图来说明本发明的实施方式。
实施例涉及将本发明应用于图1所示的成膜设备1的情况,主要涉及通过使用图3所示的表面处理设备100的第一步骤去除形成在Si基板上的自然氧化膜和有机物的工艺。
作为样本所采用的基板5是允许置于清洁空气中以在其上形成自然氧化膜的(直径为300mm的)Si单晶基板。利用基板搬送机构(未示出)将基板5搬送至加载锁定室50,以放置在加载锁定室50中。然后,由排气***(未示出)对加载锁定室50排气。在排气至期望压力、或1Pa以下之后,位于加载锁定室和搬送室之间的门阀(未示出)打开,并且该搬送室中的搬送机构(未示出)将基板5经由搬送室60搬送至表面处理设备100,并将基板5放置在基板保持件114上。
图3示出本发明的表面处理设备100。
表面处理设备100包括配备有可以放置基板5的基板保持件114的处理室113、和等离子体形成室108。通过配置有多个自由基通过孔111的等离子体分离用的等离子体约束电极板110,将处理室113和等离子体形成室108彼此分离。
从等离子体形成气体供给***101导入等离子体形成气体以通过等离子体形成气体供给管102,并且经由在等离子体形成气体导入簇射板107上开口的等离子体形成气体导入孔106进入等离子体形成室108中的等离子体形成空间109。利用该配置,等离子体形成气体可以均匀地进入等离子体形成室108中的等离子体形成空间109。
图20示出实施例中的等离子体形成气体导入簇射板107的效果。使用HF气体作为等离子体形成气体,在流量为100sccm、高频功率密度为0.01W/cm3且处理室压力为50Pa的条件下,确定放置于处理室中的基板上的氧化硅膜的蚀刻速度。在图20中,横轴是基板面中的晶片位置,并且纵轴是利用中央位置处的蚀刻速度标准化后的氧化硅膜的蚀刻速度。如图20所示,当将应用等离子体形成气体导入簇射板的情况901与没有应用等离子体形成气体导入簇射板而是应用作为现有技术的导入方法的横向方向导入的情况902进行比较时,通过簇射板导入的情况901在面内蚀刻速度的均匀性方面更好。认为该结果的原因是对等离子体形成空间109的均匀气体导入确保了等离子体形成空间109中的活性物质的均匀浓度分布,并且该现象促成了这种结果。结果,连同由于以下所述的高频施加电极104的通孔105引起的均匀等离子体形成的效果一起,进一步确保了对处理室的均匀自由基供给。
高频施加电极104沿着上方的等离子体形成气体导入簇射板107延伸,或沿着下方的等离子体分离用的等离子体约束电极板110延伸,从而将等离子体形成室108分割成上区域和下区域这两个区域。高频施加电极104配置有通孔105。通过从高频电源103向高频施加电极104施加高频功率,生成等离子体。
等离子体分离用的等离子体约束电极板110具有用于将等离子体形成室108与处理室113分隔开的等离子体分离用的等离子体约束电极板的功能。等离子体约束电极板110配置有在拒绝等离子体室中的等离子体中的离子时、允许自由基通过从而到达处理室113的自由基导入孔111。
等离子体分离用的等离子体约束电极板110具有中空结构,并且配置有朝向处理室开口的多个处理气体导入孔。通过向该中空结构供给处理气体,可以经由朝向处理室开口的多个处理气体导入孔112向处理室均匀地供给处理气体。处理气体导入孔112在各个自由基导入孔111附近开口。处理气体从处理气体供给***116通过处理气体供给管115,并且经由朝向处理室开口的多个处理气体导入孔112进入处理室。从自由基导入孔111导入的由等离子体形成气体产生的自由基、与从处理气体导入孔112导入的处理气体的分子在处理室113中首次混合,然后将混合物供给至基板5的表面。
如上所述,经由在将处理室113与等离子体形成室108分隔开的等离子体约束电极板110上形成的自由基导入孔111,向处理室113导入由等离子体形成气体产生的自由基。仅允许自由基等的电中性的分子和原子从等离子体形成室108通过在等离子体约束电极板110上开口的自由基导入孔111,以进入处理室113,并且允许等离子体中的非常少的离子进入处理室113。当在等离子体形成室108中离子浓度约为1×1010个/cm3时,处理室中的离子浓度约为5×102个/cm3,由此离子浓度减小至1000万分之一以下,这可以认为基本上非常少的离子进入处理室。作为对比,对于自由基,根据寿命将等离子体形成室中所产生的自由基的约几个百分比到几十个百分比输送至处理室。
高频施加电极104中的通孔105采用图4所示的形状。由于电极通孔105允许电极即使在0.25W/cm2以下的低功率也进一步均匀放电,因此自由基被均匀导入至处理室。电极的多个通孔的总体积V2相对于包括通孔的高频施加电极的总体积V1的体积比V2/V1优选为0.01~0.8。当V2/V1<0.01时,自由基分布的劣化显现。当V2/V1>0.8时,放电失败。
以下将说明使用本发明的图1所示的成膜设备1来制造半导体器件的方法。
该说明以作为第一步骤的基板处理步骤开始,并且以该步骤的条件开始。第一步骤中使用的设备是图3所示的基板处理设备100。
作为等离子体形成气体,将流量为100sccm的HF供给至等离子体形成室108,由此在等离子体形成部中生成等离子体。经由在等离子体分离用的等离子体约束电极板110中形成的自由基导入孔(自由基通过孔)111,将所生成的等离子体中的自由基供给至处理室113。为了抑制这些自由基的激发能量,将作为处理气体的HF以100sccm的流量经由处理气体导入孔112供给至处理室113。等离子体生成用的高频功率密度为0.01W.cm2,压力为50Pa,处理时间为5分钟,并且基板5的温度为25℃。
图12示出在本发明的第一步骤之后观察到的表面粗糙度与传统的干法处理和湿法处理的结果之间的比较。如图12所示,从本发明的第一步骤获得的表面粗糙度Ra为0.18nm,这是与通过利用稀氢氟酸溶液进行湿法处理(湿法清洗)所获得的0.17nm的表面粗糙度Ra几乎相等的良好水平。对于没有供给作为处理气体的HF气体的情况,表面粗糙度Ra为处于粗糙水平的2.0nm。此外,即使当处理时间延长至10分钟时,也确认表面粗糙度Ra为非粗糙水平的0.19nm。提高了的表面平坦性归功于相对于Si选择性地去除表面自然氧化膜和有机物。认为机制是:从等离子体生成的激发能量高的HF与作为处理气体单独导入的未激发的HF相碰撞,由此形成激发能量被抑制了的HF,并且激发能量被抑制了的HF在不对表面上的Si原子进行蚀刻时,选择性地去除表面自然氧化膜。观察到的结果确认了,使用本发明可以通过不需要高温预处理的干法清洗来实现与湿法清洗的表面平坦性等同的表面平坦性。
根据本发明的用于实现表面平坦性的条件仅是通过使从等离子体生成的激发能量高的HF与作为处理气体单独导入的未激发的HF混合并相碰撞来形成激发能量被抑制了的HF。因此,如果满足了以上条件,则不限制本实施例的结构。
即,根据本实施例,经由作为等离子体约束电极板中的多个通孔的自由基导入孔向基板供给由等离子体生成的自由基,同时经由该电极板中所形成的多个处理气体供给孔供给处理气体。然而,为了获得平坦性,结构未必限制为本实施例所给出的结构,并且通过使包含HF气体的气体等离子化、并通过使用在拒绝大部分离子时仅允许中性活性物质通过的设备将激发了的活性物质单独导入处理室、并且进一步通过从处理室的任意部分导入未激发的HF气体,可以获得该效果。
然而,从均匀性的观点,并且特别在需要对大直径的基板进行均匀处理时,需要向该基板均匀地供给自由基和未激发的处理气体这两者。为此,如本实施例一样,优选采用从面向基板的电极板簇射供给自由基、并且还允许同时簇射供给处理气体的结构。
尽管本实施例通过利用高频施加的等离子体形成来进行自由基生成,但可以通过利用微波的等离子体形成和其它方法来进行自由基生成。具体地,还可以通过图7给出的UV、X射线和微波激发以及图8给出的催化化学激发来进行自由基生成。在图7中,从导入室203向等离子体气体照射UV、X射线和微波,以使等离子体气体变成等离子体。在图7中,附图标记5表示基板,附图标记201表示等离子体形成气体供给***,附图标记202表示等离子体形成气体供给管,附图标记204表示配置有多个自由基通过孔的等离子体分离用的等离子体约束电极板,附图标记205表示自由基导入孔,附图标记206表示处理气体导入孔,附图标记207表示处理室,附图标记208表示基板保持件,附图标记209表示处理气体供给管,附图标记210表示处理气体供给***,并且附图标记211表示排气***。该处理气体***具有与图3的结构相同的结构。图8示出由加热催化剂体303使气体变成等离子体的结构。附图标记5表示基板,附图标记301表示等离子体形成气体供给***,附图标记302表示等离子体形成气体供给管,附图标记304表示配置有多个自由基通过孔的等离子体分离用的等离子体约束电极板,附图标记305表示自由基导入孔,附图标记306表示处理气体导入孔,附图标记307表示处理室,附图标记308表示基板保持件,附图标记309表示处理气体供给管,附图标记310表示处理气体供给***,并且附图标记311表示排气***。该处理气体***具有与图3的结构相同的结构。
对于导入至等离子体形成室的等离子体形成气体,本实施例仅使用HF。仅需要等离子体形成气体至少包含HF,并且特别地,可以使用利用Ar稀释了的HF。通过生成等离子体,并且通过使等离子体通过等离子体约束电极板110,自由基进入处理室113。对于进入处理室113的处理气体,本实施例仅使用HF。仅需要处理气体至少包含HF,并且特别地,可以使用利用Ar稀释了的HF。通过将经由在等离子体约束电极板110上开口的自由基导入孔111导入至处理室113的自由基与从处理气体导入孔112导入的处理气体混合,产生自由基的激发能量被抑制的气氛。然后,相对于基板材料的Si,选择性地去除位于基板的表面上的自然氧化膜和有机物,由此在抑制表面粗糙化的同时进行基板表面处理。
从基板处理之后的表面粗糙度的观点,HF流量相对于总气体流量的比例优选为0.2~1.0。以下说明确认该比例范围的试验结果。
图13示出在使用HF与Ar的混合气体分别作为等离子体形成气体和处理气体的情况下、表面粗糙度对HF混合比的依赖性。如图13所示,改变处理气体中HF相对于Ar的混合比改变了去除自然氧化膜之后的表面粗糙度。HF气体流量的增加使表面粗糙度减小。即使在使用HF气体作为要供给至等离子体形成室108的等离子体形成气体时、并且当经由在等离子体分离用的等离子体约束电极板110中形成的自由基导入孔111供给自由基时,仅供给Ar作为处理气体的情况也未能去除基板表面上的自然氧化膜,并且未能实现期望的表面处理的目的。对于供给HF气体作为等离子体形成气体并且不存在处理气体的情况,表面粗糙度Ra为2.5nm,这与使用HF气体的情况相比较变差。本实施例使用Si基板。然而,本发明的基板表面处理不限于Si基板的表面处理。具体而言,仅要求利用Si和SiGe等的IV族半导体构造基板表面。更具体地,可以将基板表面处理应用于去除附着至或沉积在玻璃基板上的薄的Si层等的IV族半导体的表面上的自然氧化膜和有机污染物的情况。
施加至高频施加电极104上的高频功率密度优选为0.001~0.25W/cm2
图6示出针对使用HF气体作为等离子体形成气体并使用HF作为处理气体的情况、自然氧化膜/Si(自然氧化膜相对于Si的蚀刻速度比)对高频功率密度的依赖性。高频功率密度的减小抑制了Si蚀刻,由此仅选择性地蚀刻自然氧化膜。自然氧化膜的蚀刻量与Si的蚀刻量相除的值被定义为“自然氧化膜/Si”。高频功率密度的减小使Si的蚀刻量相对减少,以使得“自然氧化膜/Si”增大。另一方面,高频功率密度的增大使Si的蚀刻显著增多,由此使“自然氧化膜/Si”减小。高频功率密度的增大促使Si的蚀刻,这使表面粗糙化。为了减轻表面粗糙化,需要使“自然氧化膜/Si”增大,并且使高频功率密度减小。为此,选择高频功率密度为以上范围0.001~0.25W/cm2,优选为0.001~0.125W/cm2,更优选为0.001~0.025W/cm2
然后,说明作为第二步骤的Si和SiGe外延单晶生长步骤及其条件。
该说明是针对以下工艺的:使用图1给出的成膜设备1并使用图3给出的表面处理设备100进行第一步骤,以去除Si基板上所形成的自然氧化膜,然后将该基板经由真空搬送室60搬送至CVD设备20,以进行在处理后的基板表面上生长Si和SiGe单晶膜的第二步骤。
在第一步骤中在基板的表面上对该基板进行处理,然后作为第二步骤,在CVD设备20中,在基板温度为600℃、Si2H6供给量为36sccm、并且压力保持为2E-3Pa的条件下,对该基板进行处理3分钟。之后,在基板温度为600°、Si2H6和GeH4供给量分别为36sccm、并且压力保持为4E-3Pa的条件下,对该基板进行处理3分钟。由此,如图14所示,处理后的基板得出与通过使用稀释了的氢氟酸的湿法清洗所处理的基板的表面粗糙度等同的Si上的SiGe单晶生长表面的表面粗糙度,从而提供良好的SiGe单晶膜。如图15所示,与在湿法清洗之后进行以上Si/SiGe生长的情况相比较,本实施例的情况得出Si基板和生长的Si之间的界面处的较小的氧和碳的原子密度。具体而言,界面处的氧和碳的原子密度为2×1020个原子/cm3以下。该现象是由于通过在清洗之后对基板进行真空搬送而未使其暴露至大气、抑制了氧和碳杂质被吸附到表面上。在CVD设备20中Si和SiGe单晶膜的生成工艺中,可以使用Si2H6和GeH4等的氢化气体、氢化气体与B2H6、PH3和AsH3等的掺杂材料气体的混合物、或代替Si2H6的SiH4
以下说明作为第三步骤的介电膜溅射成膜步骤、作为第四步骤的所形成的介电膜的氧化-硝化步骤、以及作为第五步骤的电极溅射步骤。
在第二步骤之后,对基板进行用于制造FET器件的工艺。该工艺包括:第三步骤,用于经由搬送室60在溅射设备40中对介电材料进行溅射成膜;第四步骤,用于通过搬送室60将基板搬送至氧化-硝化设备30,以在氧化-硝化设备30中对介电材料进行氧化;以及第五步骤,用于通过搬送室60将基板搬送至溅射设备40,以在溅射设备40中对金属电极材料进行溅射。由各个搬送或工艺控制器70~74分别控制设备10~50。可以由除溅射以外的CVD来进行第三步骤中的介电材料成膜。同样,可以由除溅射以外的CVD来进行第五步骤中的金属电极材料成膜。
利用图3所示的表面处理设备100,进行第一步骤以去除自然氧化膜,并进行第二步骤以生长Si单晶膜。然后,基板5通过真空搬送室60进入电介质-电极溅射设备40,而未使基板暴露至大气,在电介质-电极溅射设备40中进行Hf的溅射成膜,并且在未使该介电材料的表面暴露至大气的情况下经由真空搬送室60将基板搬送至氧化-硝化设备30,以对所形成的介电材料膜进行氧化,由此进行等离子体和自由基氧化。此外,在未使基板暴露至大气的情况下经由真空搬送室60将基板5搬送至电介质-电极溅射设备40,由此进行溅射以形成TiN电极膜。评价所获得的器件的特性。在图16、图17和图18中给出数据。
图16示出在向电极部施加电压的情况下通过分别测量利用本发明和利用相关技术(代替第一步骤而应用湿法清洗)制备的样本的电容所绘制的C-V曲线。与产生约30mV的滞后的相关技术的样本相比较,本发明的样本实现了10mV的滞后的良好结果。
图17示出在利用本发明所获得的界面态密度和固定电荷密度与在相关技术(代替第一步骤而应用湿法清洗)中获得的界面态密度和固定电荷密度之间的比较。利用本发明的工艺制备样本,以确定计算界面态密度和固定电荷密度所依据的C-V曲线。如图15所示,由于在第一步骤中进行基板清洗之后通过第二步骤所形成的Si膜的表面上的氧和碳杂质的量少,因此界面态密度和固定电荷密度这两者均小于相关技术中的界面态密度和固定电荷密度。该现象是干法清洗之后在真空下进行连续处理的效果。
图1所示的成膜设备1具有为各工艺设备和各搬送设备所设置的、用于在真空下进行整个工艺的控制器。即,搬送控制器70在输入部处接收从关注设备生成的输入信号,运行被编程为使处理器可以根据流程图工作的搬送程序,由此将用于将基板经由真空搬送搬送至各工艺设备的动作命令输出至关注设备。工艺控制器A~D(71~74)从工艺设备接收输入信号,运行被编程为根据流程图进行处理的程序,由此将动作命令输出至关注设备。控制器70或控制器71~74的结构是图2所给出的、包括输入部82、其内具有程序和数据的存储器部83、处理器84和输出部85的结构。该结构基本是控制关注设备的计算机结构。
图10示出搬送控制器70和工艺控制器A~D(71~74)的控制。在步骤610中,制备其上形成有自然氧化膜的Si基板。搬送控制器70进行控制,从而使用加载锁定设备50搬送基板(步骤611)。此外,搬送控制器70向表面处理设备100生成用于建立真空度为1E-4Pa以下的真空的命令,然后经由搬送室60将基板5搬送至表面处理设备100,从而将该基板放置在基板保持件上。工艺控制器A 71控制用于向基板5施加表面处理的上述第一步骤的过程(步骤613)。
搬送控制器70控制CVD成膜设备20进行真空排气,以建立真空度为1E-4Pa以下的真空,然后经由搬送室60将基板5从表面处理设备100移动至CVD成膜设备20,从而将基板5放置在CVD成膜设备20中。
工艺控制器B 72控制用于在CVD成膜设备20中处理单晶生长的上述第二步骤(步骤615)。紧挨该工艺之后,工艺控制器B72经由搬送室60将基板移动至电介质-电极溅射设备40中,以进行电介质-电极溅射成膜的第三步骤(步骤616)。
工艺控制器C 73控制用于在电介质-电极溅射设备40中进行成膜处理的第三步骤(步骤617)。搬送控制器70在氧化-硝化设备30中建立真空度为1E-4Pa以下的真空,并经由搬送室60将基板5从电介质-电极溅射设备40移动至氧化-硝化设备30中(步骤618)。工艺控制器D 74进行用于在氧化-硝化设备30中执行第四步骤的控制(步骤619)。紧挨该工艺之后,工艺控制器D 74经由搬送室60将基板5移动至电介质-电极溅射设备40中,以进行金属电极溅射成膜的第五步骤(步骤620)。工艺控制器C 73进行用于在电介质-电极溅射设备40中执行实施例3的成膜处理的控制(步骤621)。然后,搬送控制器70使用加载锁定设备50使搬送室60开放至大气(步骤622)。
通过本发明的上述处理,制造出图19所示的MOS场效应晶体管(FET)90。采用HfO膜作为位于Si基板91的源极区域92和漏极区域93之间的、栅极电极94下方的电介质栅极绝缘膜95。除HfO以外,优选的栅极绝缘膜95包括Hf、La、Ta、Al、W、Ti、Si、Ge或其合金的膜,并且更具体地,可以应用HfN、HfON、HfLaO、HfLaN、HfLaON、HfAlLaO、HfAlLaN、HfAlLaON、LaAlO、LaAlN、LaAlON、LaO、LaN、LaON、HfSiO和HfSiON。其相对介电常数为3.9~100,并且固定电荷密度为0~1×1011cm-2。栅极绝缘层的膜厚度被设置为0.5~5.0nm。
术语“固定电荷”还被称为“固定氧化膜电荷”,其表示存在于SiO2膜中的、并且在SiO2膜中固定而没有在电场等中移动的电荷。固定氧化膜电荷因氧化膜中的结构缺陷而显现,并且依赖于氧化膜的形成状态或其热处理。通常,在Si-SiO2界面附近存在由于硅中Si的悬键所产生的正固定电荷。固定氧化膜电荷使MOS结构的C-V特性与栅极电压轴平行地移动。利用C-V法确定固定电荷密度。
作为图19中的MOS-FET的栅极电极94,应用Ti、Al、TiN、TaN和W等的金属;多晶硅(B(硼)掺杂:p型或P(磷)掺杂:n型);以及Ni-FUSI(完全硅化物)。
通过本发明的方法、即通过处理其上形成有自然氧化膜的Si基板的表面、在未暴露至大气的情况下生长Si单晶膜、在未使基板暴露至大气的情况下进行溅射以形成Hf等的介电膜、并对其进行氧化和硝化的方法所制备成的半导体/绝缘膜接合部分与在大气搬送下制备的接合部分相比,固定电荷较少并且界面态较低。因此,该接合部分得出如图16所示的滞后小的C-V曲线,其中泄漏电流少,由此提供良好的器件特性。术语“界面态”表示不同类型的半导体的接合部分的界面上以及半导体与金属或绝缘材料之间的接合部分的界面上出现的电子的能量级。由于界面上的半导体面处于切断原子之间的键合的状态,因此出现被称为悬键的未键合状态,由此产生允许俘获电荷的能量级。此外,界面上的杂质或缺陷产生允许俘获电荷的能量级或界面态。通常,界面态展示出长应答时间并且不稳定,因而经常不利地影响器件特性。界面态越低表示界面越好。利用C-V法确定界面态密度。
如图1所示,本发明的成膜设备使用包括表面处理单元100、CVD成膜单元20、电介质-电极溅射单元30、氧化-硝化单元40、加载互锁室50和转移室60中的每一个单元的结构。然而,这些单元各自的数量未必是一个,并且根据吞吐量、膜结构等可以应用不止一个的各单元。例如,为了增大吞吐量,可以由分别分配有加载和卸载功能的多个加载锁定室来替换该加载锁定室。此外,例如,可以由分别分配有形成介电膜和形成电极的功能的两个以上的溅射单元来替换溅射单元30。
然而,为了有效地使用根据本发明的允许在保持平坦表面时进行干法基板表面处理的基板处理方法,针对各个表面处理单元100、CVD成膜设备20、加载锁定室50和搬送室60,优选具有至少一个单元。利用该结构,存在加载锁定室使得可以在稳定的真空排气了的气氛中以高吞吐量进行干法基板表面处理,并且通过在未使基板暴露至大气的情况下在真空下经由搬送室将基板搬送至CVD成膜单元的成膜,允许Si基板表面和CVD成膜后的Si/SiGe层之间的界面状态保持良好。
另外,为了有效地使用根据本发明的允许在保持平坦表面时处理干法基板表面的基板处理方法,针对各个表面处理单元100、电介质-电极溅射单元30、加载锁定室50和搬送室60,优选具有至少一个单元。利用该结构,存在加载锁定室使得可以在稳定的真空排气了的气氛下以高吞吐量进行干法基板表面处理。并且通过在未使基板暴露至大气的情况下在真空下经由搬送室将基板搬送至电介质-电极溅射单元30的成膜,允许在Si基板表面与作为通过在Si基板表面上进行溅射所制备的绝缘膜的基底的介电膜或导电性膜之间的界面状态保持良好。
尽管本实施例在附图中没有给出CVD成膜单元20的详细内容,但可以应用任意类型的外延成膜单元,只要该单元配置有以下即可:室;基板加热机构,用于对用于保持基板的基板保持件及被保持到该基板保持件的基板这两者进行加热;气体导入机构,用于供给包含原料气体的气体以进行CVD成膜;以及排气部件,用于对室内气氛进行排气。
同样,附图中没有给出溅射单元30的详细内容。然而,溅射单元30可以是任意类型,只要该单元具有以下即可:室;基板保持件,用于保持基板;用于向室内导入气体的机构;排气部件,用于对室内气氛进行排气;溅射阴极,用于安装由介电性或导电性金属构成的靶;以及高频电源机构或直流电源机构。
用于将由介电性或导电性金属构成的靶(未示出)安装在溅射单元30中的溅射阴极的数量未必是一个,并且可以应用用于形成多个连续膜或不连续膜、并用于安装多个靶的多个溅射阴极。从所形成的膜的厚度分布的均匀性的观点,优选基板保持件配置有用于使所安装的基板转动的转动机构。为了允许利用反应溅射的成膜,优选溅射单元30的气体导入机构不仅导入Ar等的惰性气体,而且导入N2和O2等的活性气体、或者活性气体与Ar气体的混合物。

Claims (22)

1.一种基板清洗方法,包括以下步骤:
将基板放置在处理室中;
将等离子体形成气体变为等离子体;
经由等离子体分离用的等离子体约束电极板的自由基通过孔,向所述处理室导入等离子体中的自由基;
向所述处理室导入处理气体,以使所述处理气体与所述自由基在所述处理室内混合;以及
利用所述自由基和所述处理气体的混合气氛,清洗所述基板的表面。
2.根据权利要求1所述的基板清洗方法,其特征在于,所述基板的表面是IV族半导体材料,并且所述等离子体形成气体和所述处理气体分别包含HF。
3.根据权利要求1所述的基板清洗方法,其特征在于,所述等离子体分离用的等离子体约束电极板包括用于向所述处理室导入等离子体中的自由基的多个自由基导入孔、以及用于向所述处理室导入所述处理气体的多个处理气体导入孔,由此经由各自的导入孔向所述处理室中的所述基板的表面排出所述自由基和所述处理气体。
4.一种半导体器件的制造方法,包括以下步骤:
根据权利要求1所述的基板清洗方法,在清洗室中清洗IV族半导体基板的表面;
将清洗后的基板在未使该基板暴露至大气的情况下从所述清洗室经由搬送室搬送至外延室;以及
在所述外延室中,在所述基板的表面上外延生长外延单晶层。
5.一种半导体器件的制造方法,包括以下步骤:
将根据权利要求4所述的制造方法所制造的具有外延层的基板在未使该基板暴露至大气的情况下从所述外延室经由所述搬送室搬送至溅射室;
在所述溅射室中,在所述外延层上溅射介电膜;
将具有所述介电膜的基板在未使该基板暴露至大气的情况下从所述溅射室经由所述搬送室搬送至氧化-硝化室;以及
在所述氧化-硝化室中,进行所述介电膜的氧化、硝化或氧硝化。
6.根据权利要求5所述的制造方法,其特征在于,所述介电膜由从包括Hf、La、Ta、Al、W、Ti、Si和Ge的组中选择出的一个或它们的合金构成。
7.根据权利要求1所述的基板清洗方法,其特征在于,通过向所述等离子体形成气体施加高频功率来将所述等离子体形成气体变成等离子体,并且所述高频功率的密度为0.001~0.25W/cm2,优选为0.001~0.125W/cm2,更优选为0.001~0.025W/cm2
8.根据权利要求2所述的基板清洗方法,其特征在于,还包括在清洗后的IV族半导体材料的基板表面上生长外延单晶层的步骤。
9.根据权利要求3所述的基板清洗方法,其特征在于,所述基板的表面是IV族半导体材料,并且所述等离子体形成气体和所述处理气体分别包含HF。
10.根据权利要求9所述的基板清洗方法,其特征在于,还包括在清洗后的IV族半导体材料的基板表面上生长外延单晶层的步骤。
11.根据权利要求7所述的基板清洗方法,其特征在于,所述基板的表面是IV族半导体材料,并且所述等离子体形成气体和所述处理气体分别包含HF。
12.根据权利要求11所述的基板清洗方法,其特征在于,还包括在清洗后的IV族半导体材料的基板表面上生长外延单晶层的步骤。
13.根据权利要求3所述的基板清洗方法,其特征在于,通过向所述等离子体形成气体施加高频功率来将所述等离子体形成气体变成等离子体,并且所述高频功率的密度为0.001~0.25W/cm2,优选为0.001~0.125W/cm2,更优选为0.001~0.025W/cm2
14.根据权利要求13所述的基板清洗方法,其特征在于,所述基板的表面是IV族半导体材料,并且所述等离子体形成气体和所述处理气体分别包含HF。
15.根据权利要求14所述的基板清洗方法,其特征在于,还包括在清洗后的IV族半导体材料的基板表面上生长外延单晶层的步骤。
16.一种等离子体分离型的基板处理设备,用于通过在真空室中从等离子体形成气体形成等离子体来生成自由基,并利用所述自由基和处理气体进行基板处理,所述基板处理设备包括:
等离子体形成室,用于将所导入的等离子体形成气体变成等离子体;
处理室,其包含用于放置被处理基板的基板保持件;以及
等离子体分离用的等离子体约束电极板,其形成有多个自由基通过孔,并位于所述等离子体形成室和所述处理室之间,
所述等离子体约束电极板具有中空结构,形成有朝向所述处理室开口的多个处理气体导入孔,并且布置有用于供给所述处理气体的气体导入管,其中:
所述等离子体形成室内部的等离子体形成空间包含用于利用从高频电源供给的功率生成等离子体的高频施加电极;
所述高频施加电极具有贯穿通过所述高频施加电极的多个通孔;
所述基板处理设备还包含用于向所述等离子体形成室导入所述等离子体形成气体的等离子体形成气体导入簇射板;以及
所述等离子体形成气体导入簇射板具有用于向所述高频施加电极导入所述等离子体形成气体的多个气体排出口,其中,所述高频施加电极沿着配置有所述多个自由基通过孔的等离子体分离用的等离子体约束电极板延伸。
17.根据权利要求16所述的基板处理设备,其特征在于,体积比V2/V1为0.01~0.8,其中,V2是所述高频施加电极的所述多个通孔的总体积,并且V1是包括所述通孔的所述高频施加电极的总体积。
18.根据权利要求16所述的基板处理设备,其特征在于,施加至所述高频施加电极的高频功率的密度为0.001~0.25W/cm2,优选为0.001~0.125W/cm2,更优选为0.001~0.025W/cm2
19.根据权利要求16所述的基板处理设备,其特征在于,导入至所述等离子体形成室的所述等离子体形成气体是包含HF的气体,并且导入至所述处理室的所述处理气体是包含HF的气体。
20.一种半导体器件的制造设备,包括:
基板清洗室,包括根据权利要求16所述的基板处理设备;
外延生长室,用于在基板上形成外延层;以及
搬送室,用于将来自所述基板清洗室的基板在未使该基板暴露至大气的情况下搬送至所述外延生长室。
21.根据权利要求20所述的制造设备,其特征在于,还包括用于形成介电膜的溅射室,由此允许将来自所述基板清洗室或所述外延生长室的基板在未使该基板暴露至大气的情况下经由所述搬送室搬送至所述溅射室。
22.根据权利要求21所述的制造设备,其特征在于,还包括用于对所述介电膜进行氧化、硝化或氧硝化的氧化-硝化室,由此允许将来自所述基板清洗室、所述外延生长室或所述溅射室的基板在未使该基板暴露至大气的情况下经由所述搬送室搬送至所述氧化-硝化室。
CN2007801021569A 2007-11-02 2007-11-02 表面处理设备和表面处理方法 Pending CN101971298A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2007/071393 WO2009057223A1 (ja) 2007-11-02 2007-11-02 表面処理装置およびその基板処理方法

Publications (1)

Publication Number Publication Date
CN101971298A true CN101971298A (zh) 2011-02-09

Family

ID=40590632

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2007801021569A Pending CN101971298A (zh) 2007-11-02 2007-11-02 表面处理设备和表面处理方法
CN2008801209341A Active CN101919030B (zh) 2007-11-02 2008-09-19 基板清洗设备及方法、在mos结构中形成栅极绝缘膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008801209341A Active CN101919030B (zh) 2007-11-02 2008-09-19 基板清洗设备及方法、在mos结构中形成栅极绝缘膜的方法

Country Status (5)

Country Link
US (3) US20100221895A1 (zh)
JP (1) JP5006938B2 (zh)
KR (1) KR101503412B1 (zh)
CN (2) CN101971298A (zh)
WO (2) WO2009057223A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681244A (zh) * 2013-12-25 2014-03-26 深圳市华星光电技术有限公司 低温多晶硅薄膜的预清洗方法及其制备方法、制作***
CN109686643A (zh) * 2017-10-19 2019-04-26 东京毅力科创株式会社 处理装置以及具有扩散路径的构件
CN110993467A (zh) * 2019-12-10 2020-04-10 南京三乐集团有限公司 一种微波真空电子器件用阴极的复式表面处理方法

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102310063A (zh) * 2010-06-29 2012-01-11 中国科学院微电子研究所 蜂窝形状等离子体自由基清洗***
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN203237432U (zh) * 2012-12-24 2013-10-16 鸿准精密模具(昆山)有限公司 贴膜机构
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
KR101550526B1 (ko) * 2014-02-21 2015-09-04 에스티에스반도체통신 주식회사 클러스터형 반도체 제조장치 및 이를 이용한 반도체 소자 제조방법
CN105097423B (zh) * 2014-05-12 2018-09-18 中芯国际集成电路制造(上海)有限公司 等离子体反应器及清除等离子体反应腔室颗粒污染的方法
JP2016066641A (ja) * 2014-09-22 2016-04-28 株式会社東芝 半導体装置及び半導体装置の製造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6564642B2 (ja) * 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
EP3513424B1 (en) * 2016-09-16 2023-07-26 Applied Materials, Inc. Uv radiation method for arsenic outgassing control in sub 7nm cmos fabrication
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180096853A (ko) * 2017-02-20 2018-08-30 삼성디스플레이 주식회사 박막 증착 장치
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108668422B (zh) * 2017-03-30 2021-06-08 北京北方华创微电子装备有限公司 一种等离子体产生腔室和等离子体处理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6902941B2 (ja) * 2017-06-29 2021-07-14 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
WO2019012978A1 (ja) * 2017-07-10 2019-01-17 東京エレクトロン株式会社 基板搬送装置および基板搬送方法
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190093214A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP6556822B2 (ja) * 2017-12-26 2019-08-07 キヤノントッキ株式会社 基板処理方法、基板処理装置、及び、成膜装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108346561B (zh) * 2018-02-09 2020-12-22 信利(惠州)智能显示有限公司 栅极绝缘层成膜前的多晶硅层处理方法及处理***
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11217672B2 (en) * 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
CN112692463A (zh) * 2021-03-25 2021-04-23 快克智能装备股份有限公司 一种电子装联焊接工艺

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6066823A (ja) * 1983-09-22 1985-04-17 Semiconductor Energy Lab Co Ltd 半導体エッチング方法
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
JPH0496226A (ja) 1990-08-03 1992-03-27 Fujitsu Ltd 半導体装置の製造方法
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
JPH06120181A (ja) * 1992-10-05 1994-04-28 Hitachi Ltd 半導体製造方法および装置
JPH06236850A (ja) * 1993-02-10 1994-08-23 Sony Corp プラズマ処理装置
JP2804700B2 (ja) * 1993-03-31 1998-09-30 富士通株式会社 半導体装置の製造装置及び半導体装置の製造方法
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
JPH10147877A (ja) 1996-11-19 1998-06-02 Kokusai Electric Co Ltd ガスクリーニング方法
JP2950785B2 (ja) * 1996-12-09 1999-09-20 セントラル硝子株式会社 酸化膜のドライエッチング方法
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
EP1198610A4 (en) * 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
JP3317935B2 (ja) 1999-09-01 2002-08-26 九州日本電気株式会社 プラズマ処理装置
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
JP4378806B2 (ja) 1999-09-28 2009-12-09 日本電気株式会社 Cvd装置およびその基板洗浄方法
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
US8173783B2 (en) 2000-12-08 2012-05-08 Good Biotech Corporation Process for selectively isolating IgY antibodies from egg yolk of an anseriform bird and IgY antibodies obtained thereby
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
JP4016598B2 (ja) * 2001-01-16 2007-12-05 株式会社日立製作所 半導体装置の製造方法
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
JP3997859B2 (ja) * 2002-07-25 2007-10-24 株式会社日立製作所 半導体装置の製造方法および製造装置
JP3991805B2 (ja) * 2002-07-25 2007-10-17 株式会社日立製作所 ドライ洗浄装置及びドライ洗浄方法
JP2004128281A (ja) * 2002-10-03 2004-04-22 Tokyo Electron Ltd 基板処理方法および基板処理装置
US6921703B2 (en) * 2003-05-13 2005-07-26 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
JP4115337B2 (ja) 2003-05-30 2008-07-09 俊夫 後藤 プラズマ処理装置
JP2005064120A (ja) 2003-08-08 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置およびプラズマ処理方法
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
EP1586674A1 (en) * 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
CN100555657C (zh) * 2005-03-04 2009-10-28 住友电气工业株式会社 垂直氮化镓半导体器件和外延衬底
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP2007273752A (ja) * 2006-03-31 2007-10-18 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置およびプラズマ生成装置
JP2008072029A (ja) 2006-09-15 2008-03-27 Sumitomo Chemical Co Ltd 半導体エピタキシャル結晶基板の製造方法
JP2008112750A (ja) 2006-10-27 2008-05-15 Furukawa Electric Co Ltd:The 半導体素子製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681244A (zh) * 2013-12-25 2014-03-26 深圳市华星光电技术有限公司 低温多晶硅薄膜的预清洗方法及其制备方法、制作***
WO2015096113A1 (zh) * 2013-12-25 2015-07-02 深圳市华星光电技术有限公司 低温多晶硅薄膜的预清洗方法及其制备方法、制作***
GB2535369A (en) * 2013-12-25 2016-08-17 Shenzhen China Star Optoelect Low temperature polycrystalline silicon thin film precleaning method and preparation method, and system for making low temperature polycrystalline silicon
CN103681244B (zh) * 2013-12-25 2016-09-14 深圳市华星光电技术有限公司 低温多晶硅薄膜的制备方法及其制作***
GB2535369B (en) * 2013-12-25 2018-12-05 Shenzhen China Star Optoelect Pre-cleaning method and preparation method of low-temperature polysilicon thin film, liquid crystal display device, and manufacturing system thereof
CN109686643A (zh) * 2017-10-19 2019-04-26 东京毅力科创株式会社 处理装置以及具有扩散路径的构件
CN110993467A (zh) * 2019-12-10 2020-04-10 南京三乐集团有限公司 一种微波真空电子器件用阴极的复式表面处理方法
CN110993467B (zh) * 2019-12-10 2022-07-01 南京三乐集团有限公司 一种微波真空电子器件用阴极的复式表面处理方法

Also Published As

Publication number Publication date
JPWO2009057223A1 (ja) 2011-03-10
WO2009057395A1 (ja) 2009-05-07
KR20100033328A (ko) 2010-03-29
US10083830B2 (en) 2018-09-25
CN101919030B (zh) 2012-07-04
US20160343565A1 (en) 2016-11-24
US20100221895A1 (en) 2010-09-02
US20100255667A1 (en) 2010-10-07
JP5006938B2 (ja) 2012-08-22
CN101919030A (zh) 2010-12-15
WO2009057223A1 (ja) 2009-05-07
KR101503412B1 (ko) 2015-03-17

Similar Documents

Publication Publication Date Title
CN101971298A (zh) 表面处理设备和表面处理方法
CN111033686A (zh) 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法
US20030185980A1 (en) Thin film forming method and a semiconductor device manufacturing method
US20050161434A1 (en) Method for forming insulation film
US20060110939A1 (en) Enhanced thin-film oxidation process
JP4914573B2 (ja) 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
JP4914902B2 (ja) シリサイド形成方法とその装置
JP2007088454A (ja) 制御可能な空間的変化を有する層を形成する方法及びシステム
WO2007040718A2 (en) Multi-source method and system for forming an oxide layer
EP1492161A1 (en) Method for forming underlying insulation film
CN101765905B (zh) 半导体器件的制备方法
JP5006415B2 (ja) 酸化膜除去のための基板洗浄処理方法
US7517812B2 (en) Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) Method for forming a nitrided germanium-containing layer using plasma processing
US6255197B1 (en) Hydrogen annealing method and apparatus
JP2010074065A (ja) 酸化膜除去のための基板洗浄処理方法
JP4523994B2 (ja) 電界効果トランジスタの製造方法
JP4523995B2 (ja) 電界効果トランジスタの製造方法
US20240242962A1 (en) Bottom thick oxidation growth in high aspect ratio features
JPH07235530A (ja) 絶縁膜の形成方法
JP2009124177A (ja) high−K誘電膜上に金属ゲートを蒸着する方法及び、high−K誘電膜と金属ゲートとの界面を向上させる方法、並びに、基板処理システム
JPH08144059A (ja) 連続成膜装置および連続成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110209