CN101604700B - 图像检测元件及其形成方法 - Google Patents

图像检测元件及其形成方法 Download PDF

Info

Publication number
CN101604700B
CN101604700B CN2009101492996A CN200910149299A CN101604700B CN 101604700 B CN101604700 B CN 101604700B CN 2009101492996 A CN2009101492996 A CN 2009101492996A CN 200910149299 A CN200910149299 A CN 200910149299A CN 101604700 B CN101604700 B CN 101604700B
Authority
CN
China
Prior art keywords
layer
image sensor
semiconductor device
dielectric layer
colored filter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101492996A
Other languages
English (en)
Other versions
CN101604700A (zh
Inventor
洪志明
刘人诚
杨敦年
庄俊杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101604700A publication Critical patent/CN101604700A/zh
Application granted granted Critical
Publication of CN101604700B publication Critical patent/CN101604700B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14629Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)

Abstract

本发明提供一种图像检测元件及其形成方法。图像检测元件包括图像检测器位于半导体基板中;层间介电层位于半导体基板上;多个金属间介电层及多层内连线形成于层间介电层上;以及彩色滤光片位于金属间介电层中且位于图像检测器上。本发明能够大幅减少光径的长度,并且提高图像检测的效率。

Description

图像检测元件及其形成方法
技术领域
本发明涉及集成电路及其形成方法,更特别涉及图像检测器及其形成方法。
背景技术
图像检测器,为形成于基板中的多个图像检测单元或像素的集成电路。图像检测单元用以检测射向半导体基板的光量,在现有的像素尺寸不断下降的情况下,希望能提升其量子效率(QE)。QE定义为每一像素的图像检测器将光子转为电子的比例。目前已有多种技术用以提升图像检测器的QE及灵敏度,比如薄化基板的背面厚度。虽然上述方法可减少光径长度,但会造成串音(crosslink)等其他问题。此外,介电层及金属层厚度也会影响检测器的光学性能。
发明内容
为了解决现有技术存在的上述问题,本发明提供一种图像检测元件,包括图像检测器位于半导体基板中;层间介电层位于半导体基板上;多个金属间介电层及多层内连线形成于层间介电层上;以及彩色滤光片位于金属间介电层中且位于图像检测器上。
本发明也提供一种形成图像检测元件的方法,包括:形成图像检测器于半导体基板中;形成多个层间介电层及多层内连线于半导体基板上;形成孔洞垂直延伸至层间介电层中及图像检测器上;以彩色滤光片材料填入孔洞以形成彩色滤光片。
本发明更提供一种图像检测元件,包括图像检测单元位于硅基板中;多个层间介电层及多层内连线形成于半导体基板上;彩色滤光片填入垂直延伸至层间介电层中的孔洞结构中,且彩色滤光片垂直对准图像检测单元的图像检测区。
本发明能够解决现有技术中存在的上述问题,大幅减少光径的长度,并且提高图像检测的效率。
附图说明
图1为公知技艺的图像检测器的剖面图;
图2为本发明一实施例中集成电路的剖面图;
图3为本发明一实施例中集成电路的剖面图;
图4-图12为本发明不同实施例中集成电路的剖面图;
图13-图16为本发明一实施例中形成集成电路的不同工艺的剖面图;以及
图17-图24为本发明一实施例中形成集成电路的不同工艺的剖面图。
上述附图中的附图标记说明如下:
100~图像检测电路;110~半导体基板;110a~像素阵列区;110b~周边区域;112~图像检测器;114~图像检测区;116~浅沟槽绝缘;120~层间介电层;122、124~金属间介电层;126~多层内连线;126a~第一金属层;126b~第二金属层;128、130~保护层;132~顶金属层;134、140、140a、140b、140c~彩色滤光片;135~平坦层;136~微透镜;138~光束;142~衬垫材料层;144、146~蚀刻停止层;150~图案化光致抗蚀剂层;152~插塞光致抗蚀剂材料;200~集成电路;300、302、304、306、308、310、312、314、316、318、320、330~图像检测元件。
具体实施方式
可以理解的是,下述内容提供多种实施例以说明本发明的多种特征。为了简化说明,将采用特定的实施例、单元、及组合方式说明。然而这些特例并非用以限制本发明。此外,本发明在不同附图中采用相同符号标示不同实施例的类似元件,而上述重复的符号并不代表不同实施例中的元件具有相同的对应关系。举例来说,形成某一元件于另一元件上包含了两元件为直接接触,或者两者间隔有其他元件这两种情况。
如图1所示,为公知的图像检测电路100。图像检测电路100包含半导体基板110,及图像检测器112形成于半导体基板110中。图像检测器112更包含图像检测区114。半导体基板110更包含隔离结构如浅沟槽绝缘(STI)116。集成电路100更包含层间介电层120,多个金属间介电层(IMD)122及124,以及多个金属层126形成于半导体基板110上。集成电路100也包含保护层128及130形成于金属间介电层122及124上,以及顶金属层132形成于保护层128及130上。彩色滤光片134形成于保护层130上,而微透镜136形成于彩色滤光片134上。在实际应用上,来自物体的光束138会照射微透镜136,并由图像检测区114接收。在上述构造中,彩色滤光片134及微透镜136与图像检测器112之间相隔很长一段距离,约为2至4微米。上述长距离将大幅降低图像检测效率,并因此劣化图像检测器的光学性能。
图2为本发明一实施例的集成电路200的剖面图。集成电路200包含半导体基板110,以及一个或多个图像检测器112形成于半导体基板110中。半导体基板110包含结晶硅。半导体基板110可置换或添加其他半导体材料如锗、砷化镓、或磷化铟。半导体基板110可包含多种耦合的p型掺杂区和/或n型掺杂区以形成多种元件或功能性特征。形成上述掺杂特征的方法可为多种步骤及技术的离子注入或扩散。半导体基板110可包含其他特征如浅沟槽绝缘116。半导体基板110包含图像检测所需的像素阵列区110a,以及周边区域110b围绕像素阵列区110a。
图像检测器112包含图像检测区(或称感光区)114,可为半导体基板110的n型或p型掺杂区,其形成方法包含扩散或离子注入。图像检测区114的掺杂浓度介于1014至1021原子/cm3。图像检测器112包含光电二极管、互补式金属氧化物半导体(CMOS)图像检测器、电荷耦合元件(CCD)、有源检测器、无源检测器、和/或其他形成于半导体基板110中的其他元件。如上所述,图像检测器112可含有公知和/或未来发展的图像元件。
上述的图像检测器112仅用于举例。集成电路200可含有多个阵列或其他合适排列的图像检测器,且图像检测器可具有不同的检测型态。举例来说,一部分的图像检测器为CMOS图像检测器,而其他部分为无源检测器。
集成电路200也包含层间介电层120于半导体基板110上。集成电路200还包括多个金属间介电层122及124、多层内连线126、及顶金属层132于半导体基板110上。多层内连线126及顶金属层132均电性连结至半导体基板110中的图像检测器112及其他电子单元。集成电路200也包含保护层128及130形成于多层内连线122及124上,以及顶金属层132形成于保护层中。图2显示多层内连线为三层结构,其包含多种金属特征如第一金属层、第二金属层、以及第三金属层。多层内连线结构还包括第一金属层与半导体基板110之间的接触特征以耦合两者。多层内连线结构还包括相邻金属层之间的接触孔,以耦合相邻的金属层。多层内连线结构包含铜。在一实施例中,多层内连线结构由镶嵌技术所形成。多层内连线结构可含有其他导电金属如铜合金、钛、氮化钛、钽、氮化钽、钨、多晶硅、金属硅化物、或上述的组合。在一实施例中,金属硅化物可形成于栅极及/或源极/漏极上,以降低接触电阻。上述金属硅化物包含镍、钴、钨、钽、钛、铂、铒、钯、或上述的组合的金属硅化物。在另一实施例中,可采用本领域普通技术人员熟知的铝工艺形成上述的内连线。举例来说,包含铜及硅的铝合金可作为内连线。在此例中,金属蚀刻工艺可用以形成金属连线。在另一实施例中,可由接触孔填充率较佳的钨形成铜插塞,以作为内连线之间的接触孔。内连线结构可含有多层结构。在采用铜工艺的实施例中,多层结构含有阻挡层、铜晶种层、以及铜块材(bulk)。在采用铝工艺的实施例中,多层结构可包含阻挡层、铝层、及抗反射涂层如氮化钛。在另一实施例中,顶金属层包含铝而其他金属层包含铜。
多层内连线126的形成方式可为化学气相沉积法、物理气相沉积法(PVD)或溅镀、电镀法、其他合适的方法、或上述的组合。举例来说,PVD可用以形成铜晶种层,接着以电镀法沉积铜块材以作为内连线。图2中的三层金属层仅用以举例及简化说明。在不同实施例中,多层内连线126含有少于或多于三层的金属层。多层内连线126的电路设计并不会阻挡图像光线入射至图像检测器112。
层间介电层120及金属间介电层122与124位于半导体基板110上,以电性绝缘多层内连线结构。层间介电层120及金属间介电层122与124可还包括多种蚀刻停止层或阻挡层夹设于相邻的金属间介电层之间。阻挡层在镶嵌工艺中具有蚀刻停止的性质,可阻挡水气扩散至多层内连线126,并可避免铜迁移至层间介电层及金属间介电层。阻挡层可为氮化硅、氮氧化硅、或其他合适材料。
每一层间介电层或金属间介电层的厚度约介于约100nm至1000nm(1μm)之间。在一实施例中,层间介电层120的厚度介于约350nm至约700nm之间。在其他实施例中,位于第一与第二接触孔之间的金属间介电层其厚度介于约100nm至约400nm之间。位于第一金属层与第二金属层之间的金属间介电层其厚度介于约100nm至约500nm之间。越上层的金属间介电层其厚度越厚。金属间介电层及层间介电层可包含氧化硅如未掺杂硅玻璃(USG)、氮化硅、氮氧化硅、聚亚酰胺、旋涂玻璃(SOG)、氟化硅玻璃(FSG)、掺杂碳的氧化硅(如SiCOH)、黑钻(购自加州Santa Clara的Applied Materials)、凝胶、气胶、非晶氟化碳、聚对二甲苯、苯并环丁烯(BCB)、SiLK(购自密西根州的密德兰的Dow Chemical)、和/或其他合适材料。层间介电层及金属间介电层的形成方法可为旋涂法、化学气相沉积法、溅镀法、或其他合适方法。举例来说,可采用等离子体增强式化学气相沉积法以硅甲烷(SiH4)或四乙氧硅烷(TEOS)形成氧化硅。在另一实施例中,可采用高密度等离子体气相沉积法。
多层内连线126及层间介电层/金属间介电层的形成方法可为镶嵌工艺如双镶嵌工艺或单镶嵌工艺。在一实施例中,每一金属层、接触孔、及接触的形成方法如下所述。首先,于半导体基板110上形成抗蚀刻层和/或阻挡层。抗蚀刻层包含氮化硅或氮氧化硅。接着形成介电材料于抗蚀刻层上以形成介电层,并进一步以化学机械研磨(CMP)或回蚀刻等工艺平坦化介电层。在介电层上可形成CMP停止层。接着以光刻工艺蚀刻介电层,以形成预定作为电路图案的沟槽。接着以化学气相沉积法或溅镀法,沉积附着性佳且阻挡力高的衬垫材料层(或衬垫层)于沟槽中。上述衬垫层包含介电材料。接着以溅镀法形成铜晶种层,配合后续的电镀法将铜填入衬垫后的沟槽。接着以CMP或回蚀刻等方法将位于介电层上方多余的铜层移除,同时平坦化集成电路200。此外,可通过本领域普通技术人员熟知的多种实施例的双镶嵌工艺形成多层内连线126以及层间介电层/金属间介电层。
集成电路200更包含位于金属间介电层122/124与多层内连线126上的保护层128,可实质封装半导体元件并避免水气及污染。在一实施例中,保护层128包含氧化硅。集成电路200可更包含保护层130于保护层128上,以增强保护性及黏着性。在一实施例中,保护层130包含氮化硅。
如图2所示,集成电路200包含一个或多个微透镜136形成于保护层130上。微透镜136实质对准图像感测区114以提高图像检测效率。在一实施例中,在微透镜136及保护层130之间具有平坦层135,可提供微透镜136平坦的表面。上述平坦层135可穿透可见光。在一实施例中,氧化硅可用以形成平坦层135。
如图2所示,集成电路200包含一个或多个彩色滤光片140a、140b、及140c(统称140)位于金属间介电层122/124中。彩色滤光片140垂直设置于微透镜136与图像检测区114之间,且对准微透镜136与图像检测区114以提高图像检测效率。彩色滤光片140的材料取决于滤除某一波长的光。在一实施例中,彩色滤光片140为可聚合材料。在另一实施例中,彩色滤光片140为含有颜料或染料的光致抗蚀剂材料。举例来说,彩色滤光片材料包含重氮萘醌(DNQ)系酚醛光致抗蚀剂。举例来说,彩色滤光片材料包含丙烯酸聚合物为主的负光致抗蚀剂。上述彩色滤光片材料可包含丙烯酸聚合物为主的负光致抗蚀剂以及颜料。在另一实施例中,彩色滤光片材料包含树脂。在另一实施例中,不同像素的彩色滤光片140可为不同材料,只要适当波长的光能穿过对应像素的彩色滤光片140即可。举例来说,集成电路200含有三种彩色滤光片,彩色滤光片140a为红色滤光片,含有红色滤光片材料;彩色滤光片140b为绿色滤光片,含有绿色滤光片材料;且彩色滤光片140c为蓝色滤光片,含有蓝色滤光片材料。
在金属间介电层中形成彩色滤光片的方法如下述。首先,形成孔洞结构(或孔洞)于金属间介电层中。孔洞的位置对准图像检测区114,其延伸深度端视不同实施例而异。在一实施例中,孔洞深度延伸至图像检测区114的表面。在其他实施例中,孔洞深度并未延伸至图像检测区114的表面,且部分层间介电层仍位于孔洞与图像检测区114之间。在另一实施例中,孔洞延伸至层间介电层中、延伸至层间介电层上表面、或仅延伸至金属间介电层中。在又一实施例中,孔洞与图像检测区之间仅隔有蚀刻停止层。在一实施例中,孔洞的俯视图与图像检测区重叠。彩色滤光片的截面形状可为多种适当形状如圆形或长方形。在一实施例中,孔洞具有不同深度的固定形状及尺寸。在另一实施例中,孔洞的侧视形状为锥形且上表面的开口较宽。在另一实施例中,孔洞的侧视形状为多阶形且上表面的开口较宽。
孔洞的形成方式包含光刻工艺及蚀刻。举例来说,先在保护层/金属间介电层上形成光致抗蚀剂层,接着以光刻工艺图案化光致抗蚀剂层以形成开口。接着以图案化的光致抗蚀剂层的开口蚀刻保护层/金属间介电层至适当深度,比如蚀刻至基板并露出图像感测区。上述蚀刻工艺可为干蚀刻如碳氟化物为主的蚀刻剂与氧气。此外,蚀刻工艺可为湿蚀刻如氢氟酸为主的蚀刻剂。在另一实施例中,可在形成层间介电层120之前,先形成蚀刻停止层于半导体基板110上。如此一来,上述蚀刻工艺将停止于蚀刻停止层。为了露出图像检测器,可采用其他蚀刻工艺移除沟槽中的蚀刻停止层。在另一实施例中,蚀刻停止层可位于预定高度以确认孔洞的延伸深度。在另一实施例中,在孔洞工艺中采用硬掩模。在一实施例中,孔洞形状取决于可调式蚀刻工艺。举例来说,可持续调整蚀刻参数如干蚀刻的蚀刻剂或电压,使孔洞侧视形状为锥形。在一实施例中,结合干蚀刻工艺及湿蚀刻工艺使孔洞具有锥形的侧视形状。举例来说,先进行干蚀刻后再进行湿蚀刻可使锥形孔洞具有较宽开口。在另一实施例中,多重蚀刻工艺可通过多重光刻工艺及多重蚀刻停止层形成具有较宽开口的多阶形孔洞。在一实施例中,先形成硬掩模层于保护层/金属间介电层上。接着形成光致抗蚀剂层于硬掩模层上,并以光刻工艺图案化光致抗蚀剂层以形成开口。接着进行蚀刻工艺,将图案化光致抗蚀剂层的开口转移至硬掩模层。接着以湿式剥除法或等离子体灰化法移除光致抗蚀剂层。接着以硬掩模层的开口蚀刻金属间介电层以形成孔洞。
接着以彩色滤光片材料填入上述孔洞。在不同的实施例中,彩色滤光片材料包含丙烯酸聚合物为主的负光致抗蚀剂或树脂。此外在一实施例中,在填入彩色滤光片材料前,先将衬垫材料层142形成于孔洞侧壁。衬垫材料层142可作为阻挡层以避免彩色滤光片材料扩散至金属间介电层或基板。举例来说,彩色滤光片可能为了色彩变化而含有金属。此时阻挡层可避免彩色滤光片中的金属扩散至基板及金属间介电层/层间介电层。在一实施例中,衬垫材料层142包含氮化硅。
在另一实施例中,孔洞的延伸深度可达图像检测器114的表面。接着将透明材料填入孔洞至某一深度后,再开始将彩色滤光片填入剩余孔洞。透明材料可包含未掺杂硅玻璃(USG)、以等离子体增强式化学气相沉积法及硅甲烷(SiH4)形成的氧化硅、以等离子体增强或高密度等离子体的化学气相沉积法及四乙氧硅烷(TEOS)形成的氧化硅、旋涂玻璃、或上述的组合。
在一实施例中,将彩色滤光片材料填入孔洞后可进一步对集成电路200进行平坦化工艺,以平坦化集成电路200并移除多余的彩色滤光片材料。平坦化工艺包含回蚀刻、CMP、或上述的组合。在另一实施例中,可由相同工艺同时形成衬垫材料层142及保护层130。如图2所示的集成电路200,已减少微透镜与图像检测器之间的光径,并大幅改善图像检测效率。
图3为本发明另一实施例中图像检测元件300的剖面图。为简化起见,将不重复叙述图像检测元件300与集成电路200类似的组件。图像检测元件300包含半导体基板110,以及一个或多个图像检测器112形成于半导体基板110中。半导体基板110可包含其他特征如浅沟槽绝缘116。半导体基板110包含图像检测所需的像素阵列区110a,以及周边区域110b围绕像素阵列区110a。
图像检测器112包含图像检测区(或称感光区)114,可为半导体基板110的n型或p型掺杂区。图像检测器112包含光电二极管、互补式金属氧化物半导体(CMOS)图像检测器、电荷耦合元件(CCD)、有源检测器、无源检测器、和/或其他形成于半导体基板110中的其他元件。上述的图像检测器112仅用于举例。图像检测元件300可含有多个阵列或其他合适排列的图像检测器。
图像检测元件300也包含层间介电层120于半导体基板110上。图像检测元件300还包括多个多层内连线126及金属间介电层122及124于层间介电层120上。多层内连线126电性连结至半导体基板110中的图像检测器112及其他电子单元。多层内连线126包含顶电极层132。图3显示多层内连线为三层结构,其包含多种金属特征如第一金属层、第二金属层、以及第三金属层。在此实施例中,顶金属层132位于周边区域110b且位于彩色滤光片140上。多层内连线126及层间介电层/金属间介电层的形成方法可为整合工艺如双镶嵌工艺或单镶嵌工艺。顶金属层132可包含打线垫或探针测试垫。图像检测元件300可更包含蚀刻停止层144于顶金属层132上。图像检测元件300更包含位于金属间介电层122/124与多层内连线126上的保护层128,可实质封装半导体元件并避免水气及污染。在一实施例中,保护层128包含氧化硅。图像检测元件300可更包含保护层130于保护层128上,以增强保护性及黏着性。在一实施例中,保护层130包含氮化硅。
图像检测元件300包含一个或多个微透镜136形成于保护层130上。微透镜136实质对准图像感测区114以提高图像检测效率。在一实施例中,在微透镜136及保护层130之间具有平坦层135,可提供微透镜136平坦的表面。上述平坦层135可穿透可见光。在一实施例中,氧化硅可用以形成平坦层135。
图像检测元件300包含一个或多个彩色滤光片140形成于金属间介电层122/124中。彩色滤光片140垂直设置于微透镜136与图像检测区114之间,且对准微透镜136与图像检测区114以提高图像检测效率。在金属间介电122/124中形成彩色滤光片140的方法如下述。首先,形成孔洞结构(或孔洞)于金属间介电层中。孔洞的位置对准图像检测区114,其延伸深度端视不同实施例而异。在一实施例中,孔洞与图像检测区114的上表面的最短距离为零。在另一实施例中,可通过移除蚀刻停止层使孔洞底部位于图像检测区的上表面上。在其他实施例中,孔洞深度并未延伸至图像检测区114的表面,且部分层间介电层仍位于孔洞与图像检测区114之间。在另一实施例中,孔洞延伸至层间介电层中、延伸至层间介电层上表面、或仅延伸至金属间介电层中。在一实施例中,孔洞的俯视图与图像检测区重叠。彩色滤光片的截面形状可为多种适当形状如圆形或长方形。在一实施例中,孔洞具有不同深度的固定形状及尺寸。在另一实施例中,孔洞的侧视形状为锥形且上表面的开口较宽。在另一实施例中,孔洞的侧视形状为多阶形且上表面的开口较宽。孔洞的形成方式包含光刻工艺及蚀刻。在另一实施例中,可在形成层间介电层120之前,先形成蚀刻停止层于半导体基板110上。为了露出图像检测器,可采用其他蚀刻工艺移除沟槽中的蚀刻停止层。在另一实施例中,蚀刻停止层可位于预定高度以确认孔洞的延伸深度。
彩色滤光片140的位置低于顶金属层。如图3所示,微透镜136与顶金属层的垂直方向至少部分符合。通过蚀刻金属间介电层形成孔洞,再填入彩色滤光片材料,即可形成彩色滤光片。在一实施例中,彩色滤光片材料包含可聚合材料如丙烯酸聚合物为主的负光致抗蚀剂或树脂。彩色滤光片材料包含可聚合材料如丙烯酸聚合物为主的负光致抗蚀剂及颜料。如此一来,可减少微透镜与图像检测区之间的光径并提高图像检测效率。此外在一实施例中,可在填入孔洞的步骤前先形成衬垫材料142于孔洞侧壁。在一实施例中,衬垫材料包含氮化硅。
在一实施例中,在形成多层金属特征后形成沟槽于像素阵列区110a,使图像检测元件300的彩色滤光片位于顶金属层的垂直方向的下侧。上述沟槽延伸至顶金属层的下表面。接着沉积保护层于含有沟槽的图像检测元件300上,再形成平坦层135及微透镜136于沟槽中。在另一实施例中,顶金属层的垂直延伸方向为第一方向,而位于彩色滤光片上的微透镜沿着至少部分的第一方向垂直延伸。
在另一实施例中,孔洞的延伸深度可达图像检测器114的表面。接着将透明材料填入孔洞至某一深度后,再开始将彩色滤光片填入剩余孔洞。透明材料可包含未掺杂硅玻璃(USG)、以等离子体增强式化学气相沉积法及硅甲烷(SiH4)形成的氧化硅、以等离子体增强或高密度等离子体的化学气相沉积法及四乙氧硅烷(TEOS)形成的氧化硅、旋涂玻璃、或上述的组合。将彩色滤光片材料填入孔洞后可进一步对图像检测元件300进行平坦化工艺,以平坦化图像检测元件300并移除多余的彩色滤光片材料。平坦化工艺包含回蚀刻、CMP、或上述的组合。在另一实施例中,彩色滤光片的截面积介于0.36平方微米至17.64平方微米之间。
图4-图12为本发明不同实施例中图像检测元件的剖面图。为了依彩色滤光片性质调整图像检测元件的光学性能,可改变彩色滤光片的高度。举例来说,可将透明材料形成于金属间介电层之间,或形成于金属间介电层与层间介电层之间。在另一实施例中,透明材料形成于基板上以作为层间介电层的蚀刻停止层,用以控制之后填充彩色滤光层的孔洞深度。如前所述,孔洞实质上具有垂直侧壁,其不同深度的截面具有相同形状及大小。此外孔洞的侧视形状也可为锥形、多阶形、或上述的组合,可由光刻工艺及蚀刻工艺完成。
图4的图像检测元件302其彩色滤光片延伸至层间介电层120中,但与图像检测器隔有一段距离。彩色滤光片具有垂直侧壁,且位于顶金属层的凹陷下方。
图5的图像检测元件304其彩色滤光片延伸至金属间介电层122中。彩色滤光片具有垂直侧壁,且位于顶金属层的凹陷下方。
图6的图像检测元件306其彩色滤光片延伸至金属间介电层124中。彩色滤光片具有垂直侧壁,且位于顶金属层的凹陷下方。
图7的图像检测元件308其彩色滤光片延伸至并止于相邻的金属间介电层122与124之间的介面。彩色滤光片具有垂直侧壁,且位于顶金属层的凹陷下方。
图8的图像检测元件310其彩色滤光片延伸至蚀刻停止层146,且蚀刻停止层146用以控制填入彩色滤光片材料的孔洞深度。彩色滤光片具有垂直侧壁,且位于顶金属层的凹陷下方。
图9的图像检测元件312其彩色滤光片延伸至相邻的金属间介电层122与124之间的介面。彩色滤光片的侧视形状为锥形且上表面的截面积较大,并位于顶金属层的凹陷下方。
图10的图像检测元件314其彩色滤光片延伸至基板。彩色滤光片的侧视形状为锥形且上表面的截面积较大,并位于顶金属层的凹陷下方。
图11的图像检测元件316其彩色滤光片的侧视形状为多阶形(如二阶)且靠近微透镜的截面积较大,可提升图像检测效率。上述多阶形的彩色滤光片的形成方法为多重光刻及蚀刻步骤,比如两道蚀刻步骤。
图12的图像检测元件318其彩色滤光片的侧视形状为三阶形且靠近微透镜的开口较大。上述三阶形的彩色滤光片的形成方法为三道光刻及三道蚀刻步骤。
图13-图16为本发明一实施例中形成图像检测元件320的不同工艺的剖面图,其详细步骤如下述。为简化起见,将省略重复特征的叙述。
如图13所示,先提供半导体基板110,形成一个或多个图像检测器112于基板中后,再形成多层内连线于半导体基板110上。在此实施例中,多层内连线包含第一金属层126a、第二金属层126b、及顶金属层132。半导体基板110还包括其他特征如浅沟槽绝缘(STI)116。每一图像检测器112包含图像检测区(或感光区114),可为半导体基板110的n型或p型掺杂区。图像检测区114的掺杂浓度介于1014至1021原子/cm3。图像检测器可具有不同的检测型态及排列方式。举例来说,一部分的图像检测器为CMOS图像检测器,而其他部分为无源检测器。
多层内连线的形成方式可为化学气相沉积法、溅镀、电镀法、其他合适的方法、或上述的组合。举例来说,PVD可用以形成铜晶种层,接着以电镀法沉积铜块材以作为内连线。图13中的三层金属层仅用以举例及简化说明。在不同实施例中,多层内连线含有少于或多于三层的金属层。多层内连线的电路设计并不会阻挡图像光线入射至图像检测器。每一层间介电层120或金属间介电层122/124的形成方法可为旋涂法、化学气相沉积法、溅镀法、或其他合适方法。举例来说,可采用等离子体增强式化学气相沉积法以硅甲烷(SiH4)或四乙氧硅烷(TEOS)形成氧化硅。在另一实施例中,可采用高密度等离子体气相沉积法。
多层内连线及层间介电层/金属间介电层的形成方法可为整合的工艺(即镶嵌工艺)如双镶嵌工艺或单镶嵌工艺。在一实施例中,每一金属层、接触孔、及接触的形成方法如下所述。首先,于图像检测元件320上形成抗蚀刻层和/或阻挡层。抗蚀刻层包含氮化硅或氮氧化硅。接着形成介电材料于抗蚀刻层上以形成介电层,并进一步以化学机械研磨(CMP)或回蚀刻等工艺平坦化介电层。在介电层上可形成CMP停止层。接着以光刻工艺蚀刻介电层,以形成预定作为电路图案的沟槽。接着以溅镀法沉积附着性佳且阻挡力高的衬垫材料层(或衬垫层)于沟槽中。接着以溅镀法形成铜晶种层,配合后续的电镀法将铜填入衬垫后的沟槽。接着以CMP或回蚀刻等方法将位于介电层上方多余的铜层移除,同时平坦化图像检测元件320。此外,可通过本领域普通技术人员熟知的多种实施例的双镶嵌工艺形成多层内连线126以及层间介电层/金属间介电层。在另一实施例中,如前所述可采用本本领域普通技术人员熟知的铝工艺形成上述的内连线。举例来说,包含铜及硅的铝合金可作为内连线。在此例中,金属蚀刻工艺可用以形成金属连线。在另一实施例中,可由接触孔填充率较佳的钨形成铜插塞,以作为内连线之间的接触孔。内连线结构可含有多层结构。在采用铜工艺的实施例中,多层结构含有阻挡层、铜晶种层、以及铜块材(bulk)。在采用铝工艺的实施例中,多层结构可包含阻挡层、铝层、及抗反射涂层如氮化钛。在另一实施例中,顶金属层包含铝而其他金属层包含铜。
同样如图13所示,接着沉积保护层128并以化学机械研磨等工艺平坦化保护层128。在一实施例中,保护层128包含氧化硅。接着可回蚀刻保护层128直到顶金属层(如第三金属层)。在一实施例中,再沉积氧化层以保护第三金属层露出的表面。再沉积的氧化层其厚度介于约50nm至约100nm之间。在一实施例的图像检测元件320中,层间介电层120的厚度介于约500nm至约600nm之间。金属间介电层122的厚度介于约600nm至约700nm之间,而金属间介电层124的厚度介于约700nm至约800nm之间。保护层128的厚度介于约600nm至约700nm之间。
如图14所示,形成光致抗蚀剂层于多层内连线上后进行光刻工艺以形成图案化光致抗蚀剂层150。图案化光致抗蚀剂层具有一个或多个开口对准图像检测器。光刻工艺包含图案化光致抗蚀剂层、蚀刻、以及剥除光致抗蚀剂。图案化光致抗蚀剂层的步骤可更包含涂布光致抗蚀剂、软烘烤、对准光掩模、曝光图案、曝光后烘烤、显影光致抗蚀剂、及硬烘烤。光刻工艺可由其他合适方法取代,比如无光掩模光刻工艺、电子束直写、离子束直写、或分子转印。
如图15所示,以图案化光致抗蚀剂层的开口进行蚀刻工艺,形成孔洞结构(或孔洞)于金属间介电层中。每一孔洞的位置对准图像检测区。孔洞深度延伸至图像检测区的表面。在其他实施例中,孔洞深度并未延伸至图像检测区114的表面,且部分层间介电层/金属间介电层仍位于孔洞与图像检测区之间。
在一实施例中,孔洞的形成方式包含光刻工艺及蚀刻。举例来说,先在保护层/金属间介电层上形成光致抗蚀剂层,接着以光刻工艺图案化光致抗蚀剂层以形成开口。接着以图案化的光致抗蚀剂层的开口蚀刻保护层/金属间介电层至适当深度,比如蚀刻至基板并露出图像感测区。上述蚀刻工艺可为干蚀刻如碳氟化物为主的蚀刻剂与氧气。在多种实施例中,碳氟化物为主的蚀刻剂包含CF4、CHF3、C2F6、C3F8、C4F8、或上述的组合。干蚀刻的工艺温度介于约100℃至150℃之间。此外,蚀刻工艺可为湿蚀刻如氢氟酸为主的蚀刻剂。监控上述的蚀刻工艺可避免过蚀刻半导体基板110与其中的图像检测器112。在另一实施例中,可在形成层间介电层120之前,先形成蚀刻停止层于半导体基板110上。如此一来,上述蚀刻工艺将停止于蚀刻停止层。为了露出图像检测器,可采用其他蚀刻工艺移除沟槽中的蚀刻停止层。在另一实施例中,蚀刻停止层可位于预定高度以确认孔洞的延伸深度。在另一实施例中,在孔洞工艺中采用硬掩模。在一实施例中,结合干蚀刻工艺及湿蚀刻工艺使孔洞具有锥形的侧视形状。举例来说,先进行干蚀刻后再进行湿蚀刻可使锥形孔洞具有较宽开口。在另一实施例中,多重蚀刻工艺搭配多重光刻工艺及多重蚀刻停止层可形成具有较宽开口的多阶形孔洞。在一实施例中,先形成硬掩模层于保护层/金属间介电层上。接着形成光致抗蚀剂层于硬掩模层上,并以光刻工艺图案化光致抗蚀剂层以形成开口。接着进行蚀刻工艺,将图案化光致抗蚀剂层的开口转移至硬掩模层。接着以湿式剥除法或等离子体灰化法移除光致抗蚀剂层。接着以硬掩模层的开口蚀刻金属间介电层以形成孔洞。
在形成孔洞结构后,可再沉积氧化层于图像检测元件320的孔洞结构的侧壁上。氧化层(未图示)的厚度介于约50nm至约200nm之间。接着再形成保护层130于图像检测元件320的孔洞结构的侧壁上。保护层130可为氮化硅,其厚度介于约50nm至约200nm之间。
此外,还可进行额外工艺如以光刻工艺定义接触垫如打线垫或探针测试垫的开放区域,以蚀刻工艺形成上述接触垫的开放区域,以及在完成接触垫的开放区域后移除光致抗蚀剂层。
如图16所示,将一种或多种彩色滤光片材料填入各个孔洞结构中。举例来说,将红色滤光片材料填入孔洞即形成红色的彩色滤光片140a;将绿色滤光片材料填入孔洞即形成绿色的彩色滤光片140b;将蓝色滤光片材料填入孔洞即形成蓝色的彩色滤光片140c。将彩色滤光片材料填入孔洞后可进一步对图像检测元件320进行平坦化工艺,以平坦化图像检测元件320并移除多余的彩色滤光片材料。平坦化工艺包含回蚀刻、CMP、或上述的组合。
接着形成平坦层135于图像检测元件320上,再形成一个或多个微透镜136于平坦层135上。如此一来,微透镜136可对准对应的彩色滤光片及图像检测器。
图17-24为本发明一实施例中形成图像检测元件330的不同工艺的剖面图,其详细步骤如下述。
如图17所示,先提供半导体基板110,形成一个或多个图像检测器112于基板中后,再形成多层内连线于半导体基板110上。半导体基板110还包括其他特征如浅沟槽绝缘(STI)116。图像检测器位于半导体基板110中。每一图像检测器112包含图像检测区(或感光区114),可为半导体基板110的n型或p型掺杂区。
多层内连线的形成方式可为化学气相沉积法、溅镀、电镀法、其他合适的方法、或上述的组合。举例来说,PVD可用以形成铜晶种层,接着以电镀法沉积铜块材以作为内连线。在另一实施例中,如前所述可采用本领域普通技术人员熟知的铝工艺形成上述的铝为主的内连线。图17中的三层金属层仅用以举例及简化说明。在此例中,多层内连线包含第一金属层126a、第二金属层126b、及顶金属层132。在不同实施例中,多层内连线含有少于或多于三层的金属层。多层内连线的电路设计并不会阻挡图像光线入射至图像检测器。顶金属层位于环绕像素阵列区的周边区域中。在蚀刻顶金属层以形成顶金属特征后,再沉积蚀刻停止层144。蚀刻停止层的厚度约为500埃。
同样如图17所示,接着沉积保护层128并以化学机械研磨等工艺平坦化保护层128。在一实施例中,保护层128包含氧化硅。接着可回蚀刻保护层128直到顶金属层。在一实施例中,再沉积氧化层以保护第三金属层露出的表面。再沉积的氧化层其厚度介于约50nm至约100nm之间。在一实施例的图像检测元件330中,层间介电层120的厚度介于约500nm至约600nm之间。金属间介电层122的厚度介于约600nm至约700nm之间,而金属间介电层124的厚度介于约700nm至约800nm的间。保护层128的厚度介于约600nm至约700nm之间。
如图18所示,形成光致抗蚀剂层于多层内连线上后进行光刻工艺以形成图案化光致抗蚀剂层150。图案化光致抗蚀剂层具有一个或多个开口对准图像检测器。光刻工艺包含图案化光致抗蚀剂层、蚀刻、以及剥除光致抗蚀剂。图案化光致抗蚀剂层的步骤可更包含涂布光致抗蚀剂、软烘烤、对准光掩模、曝光图案、曝光后烘烤、显影光致抗蚀剂、及硬烘烤。
以图案化光致抗蚀剂层150的开口进行蚀刻工艺,形成孔洞结构(或孔洞)于金属间介电层中。每一孔洞的位置对准图像检测区。孔洞深度延伸至图像检测区的表面。在其他实施例中,孔洞结构仅延伸至形成于基板上的蚀刻停止层。如此一来,可确切控制蚀刻工艺止于蚀刻停止层。在其他实施例中,孔洞结构并未延伸至图像检测区的表面,且部分层间介电层/金属间介电层仍位于孔洞结构与图像检测区之间。
孔洞的形成方式包含光刻工艺及蚀刻。举例来说,先在保护层/金属间介电层上形成光致抗蚀剂层,接着以光刻工艺图案化光致抗蚀剂层以形成开口。接着以图案化的光致抗蚀剂层的开口蚀刻保护层/金属间介电层至适当深度,比如蚀刻至基板并露出图像感测区。上述蚀刻工艺可为干蚀刻如碳氟化物为主的蚀刻剂与氧气。在多种实施例中,碳氟化物为主的蚀刻剂包含CF4、CHF3、C2F6、C3F8、C4F8、或上述的组合。干蚀刻的工艺温度介于约100℃至150℃之间。此外,蚀刻工艺可为湿蚀刻如氢氟酸为主的蚀刻剂。监控上述的蚀刻工艺可避免过蚀刻半导体基板110与其中的图像检测器112。在另一实施例中,可在形成层间介电层120之前,先形成蚀刻停止层于半导体基板110上。如此一来,上述蚀刻工艺将停止于蚀刻停止层。为了露出图像检测器,可采用其他蚀刻工艺移除沟槽中的蚀刻停止层。在另一实施例中,蚀刻停止层可位于预定高度以确认孔洞的延伸深度。在另一实施例中,在孔洞工艺中采用硬掩模。在一实施例中,结合干蚀刻工艺及湿蚀刻工艺使孔洞具有锥形的侧视形状。举例来说,先进行干蚀刻后再进行湿蚀刻可使锥形孔洞具有较宽开口。在另一实施例中,多重蚀刻工艺可通过多重光刻工艺及多重蚀刻停止层形成具有较宽开口的多阶形孔洞。在一实施例中,先形成硬掩模层于保护层/金属间介电层上。接着形成光致抗蚀剂层于硬掩模层上,并以光刻工艺图案化光致抗蚀剂层以形成开口。接着进行蚀刻工艺,将图案化光致抗蚀剂层的开口转移至硬掩模层。接着以湿式剥除法或等离子体灰化法移除光致抗蚀剂层。接着以硬掩模层的开口蚀刻金属间介电层以形成孔洞。
如图19所示,以旋涂法等方法将插塞光致抗蚀剂材料152填入孔洞结构。接着如图20所示,蚀刻插塞光致抗蚀剂材料152至适当深度。举例来说,保留的插塞光致抗蚀剂材料152比蚀刻停止层144低约100nm。
如图21所示,接着以光刻工艺定义图像检测元件330的凹陷部分。如图22所示,进行第一回蚀刻工艺以选择性蚀刻直到蚀刻停止层以避免栅栏效应(fence effect)并改善一致性。接着进行第二回蚀刻工艺,直到第二金属层上的金属间介电层的厚度为约70nm至约130nm为止。接着移除图案化的光致抗蚀剂层。
如图23所示,再沉积氧化层于图像检测元件330的孔洞结构的侧壁上。氧化层(未图示)的厚度介于约50nm至约200nm之间。接着再形成保护层130于图像检测元件330的孔洞结构的侧壁上。保护层130可为氮化硅,其厚度介于约50nm至约200nm之间。
此外,还可进行额外工艺如以光刻工艺定义接触垫如打线垫或探针测试垫的开放区域,以蚀刻工艺形成上述接触垫的开放区域,以及在完成接触垫的开放区域后移除光致抗蚀剂层。
如图24所示,将一种或多种彩色滤光片材料填入各个孔洞结构中。举例来说,将红色滤光片材料填入孔洞即形成红色的彩色滤光片140a;将绿色滤光片材料填入孔洞即形成绿色的彩色滤光片140b;将蓝色滤光片材料填入孔洞即形成蓝色的彩色滤光片140c。
接着形成平坦层135于图像检测元件330上,再形成一个或多个微透镜136于平坦层135上。如此一来,微透镜136可对准对应的彩色滤光片及图像检测器。上述结构可进一步缩短微透镜与图像检测器之间的光径。
本发明的多个实施例已揭示不同的图像检测元件及其形成方法,并在本发明的范畴中建议了其他变化。举例来说,形成于孔洞结构侧壁的衬垫材料层可进一步形成于孔洞结构底部。衬垫材料层可为含氮材料如氮化硅、氮氧化硅、或其他合适材料。在另一实施例中,彩色滤光片可含有其他合适形状以符合其他图像检测区。在另一实施例中,顶金属层可采用其他合适金属或合金以利材料整合。在另一实施例中,彩色滤光片为只透可见光如红光、绿光、或蓝光的其他介电材料。在另一实施例中,图像检测器包括钉扎光电二极管(pinned photodiode)。在不同实施例中的内连线其金属线可由铜工艺、铝工艺、或其他合适工艺形成。
虽然本发明已以数个较佳实施例揭示如上,然其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (11)

1.一种图像检测元件,包括:
一图像检测器位于一半导体基板中;
一层间介电层位于该半导体基板上;
多个金属间介电层及多层内连线形成于该层间介电层上,其中该多层内连线包含一顶金属层;以及
一彩色滤光片,位于该些金属间介电层中、位于该顶金属层的垂直方向的下侧并贯穿至少一金属间介电层,且位于该图像检测器上,其中该彩色滤光片的侧视形状为多阶形。
2.如权利要求1所述的图像检测元件,其中该彩色滤光片延伸至层间介电层中。
3.如权利要求1所述的图像检测元件,其中该彩色滤光片的高度介于300nm至5000nm之间。
4.如权利要求1所述的图像检测元件,其中该彩色滤光片的截面积介于0.36平方微米至17.64平方微米之间。
5.如权利要求1所述的图像检测元件,其中该彩色滤光片的上表面具有较大的截面面积。
6.如权利要求1所述的图像检测元件,其中该图像检测器还包括一图像检测区垂直对准该彩色滤光片。
7.如权利要求1所述的图像检测元件,其中该彩色滤光片更被一衬垫材料层包覆。
8.一种形成图像检测元件的方法,包括:
形成一图像检测器于一半导体基板中;
形成多个层间介电层及多层内连线于该半导体基板上,其中该多层内连线包含一顶金属层;
形成一孔洞垂直延伸至该层间介电层中及该图像检测器上,其中该孔洞位于该顶金属层的垂直方向的下侧且贯穿至少一金属间介电层,其中形成该孔洞的步骤包括以可调蚀刻工艺使该孔洞的侧面形状为多阶形;
以彩色滤光片材料填入该孔洞以形成一彩色滤光片。
9.如权利要求8所述的形成图像检测元件的方法,在以彩色滤光片材料填入该孔洞的步骤前,还包括以一介电材料衬垫该孔洞。
10.一种图像检测元件,包括:
一图像检测单元位于一硅基板中;
多个层间介电层及多层内连线形成于该硅基板上,其中该多层内连线包含一顶金属层;
一彩色滤光片填入一垂直延伸至该层间介电层中的孔洞结构中,该孔洞结构位于该顶金属层的垂直方向的下侧并贯穿至少一金属间介电层,且该彩色滤光片垂直对准该图像检测单元的图像检测区,其中该彩色滤光片的侧视形状为多阶形。
11.如权利要求10所述的图像检测元件,还包括一介电材料层衬垫该孔洞结构。
CN2009101492996A 2008-06-13 2009-06-12 图像检测元件及其形成方法 Active CN101604700B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US6138508P 2008-06-13 2008-06-13
US61/061,385 2008-06-13

Publications (2)

Publication Number Publication Date
CN101604700A CN101604700A (zh) 2009-12-16
CN101604700B true CN101604700B (zh) 2012-12-12

Family

ID=41430338

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101492996A Active CN101604700B (zh) 2008-06-13 2009-06-12 图像检测元件及其形成方法

Country Status (3)

Country Link
US (2) US8222710B2 (zh)
CN (1) CN101604700B (zh)
TW (1) TWI376795B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10197716B2 (en) 2012-12-19 2019-02-05 Viavi Solutions Inc. Metal-dielectric optical filter, sensor device, and fabrication method
US10222523B2 (en) 2012-12-19 2019-03-05 Viavi Solutions Inc. Sensor device including one or more metal-dielectric optical filters

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9386655B2 (en) * 2008-05-27 2016-07-05 Nxp B.V. Light sensor device and manufacturing method
TWI376795B (en) 2008-06-13 2012-11-11 Taiwan Semiconductor Mfg Image sensor device and method for manufacturing the same
JP5347999B2 (ja) * 2009-03-12 2013-11-20 ソニー株式会社 固体撮像素子及びその製造方法、撮像装置
US8330840B2 (en) * 2009-08-06 2012-12-11 Aptina Imaging Corporation Image sensor with multilayer interference filters
US9911781B2 (en) * 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
KR20110077451A (ko) * 2009-12-30 2011-07-07 삼성전자주식회사 이미지 센서, 그 제조 방법, 및 상기 이미지 센서를 포함하는 장치
FR2969820B1 (fr) * 2010-12-23 2013-09-20 St Microelectronics Sa Capteur d'image éclairé par la face avant a faible diaphotie
JP4880794B1 (ja) * 2011-04-22 2012-02-22 パナソニック株式会社 固体撮像装置とその製造方法
US9236411B2 (en) * 2011-08-03 2016-01-12 Omnivision Technologies, Inc. Color filter patterning using hard mask
JP6003316B2 (ja) * 2012-07-12 2016-10-05 ソニー株式会社 固体撮像装置、電子機器
CA3178340A1 (en) 2012-08-20 2014-02-27 Illumina, Inc. Method and system for fluorescence lifetime based sequencing
US8779542B2 (en) * 2012-11-21 2014-07-15 Intersil Americas LLC Photodetectors useful as ambient light sensors and methods for use in manufacturing the same
US9568362B2 (en) 2012-12-19 2017-02-14 Viavi Solutions Inc. Spectroscopic assembly and method
US8941159B2 (en) 2013-01-30 2015-01-27 Omnivision Technologies, Inc. Color filter including clear pixel and hard mask
CN104009048A (zh) * 2013-02-26 2014-08-27 中芯国际集成电路制造(上海)有限公司 Cmos图像传感器及其制备方法
US9691809B2 (en) 2013-03-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated image sensor device having an oxide film and method of forming an oxide film of a backside illuminated image sensor device
US9659992B2 (en) 2013-03-21 2017-05-23 Infineon Technologies Ag Method of manufacturing an imager and imager device
JP6303803B2 (ja) 2013-07-03 2018-04-04 ソニー株式会社 固体撮像装置およびその製造方法
US9443996B2 (en) * 2013-07-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric structure for color filter array
US20150137296A1 (en) * 2013-11-20 2015-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Color Filter Array and Micro-Lens Structure for Imaging System
FR3014243B1 (fr) * 2013-12-04 2017-05-26 St Microelectronics Sa Procede de realisation d'un dispositif imageur integre a illumination face avant comportant au moins un filtre optique metallique, et dispositif correspondant
US9391113B2 (en) * 2014-01-17 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Image-sensor device structure and method of manufacturing
KR102159166B1 (ko) 2014-05-09 2020-09-23 삼성전자주식회사 색분리 소자 및 상기 색분리 소자를 포함하는 이미지 센서
TWI700518B (zh) * 2014-06-18 2020-08-01 美商唯亞威方案公司 金屬介電光學濾光器、感測器裝置及製造方法
US9356185B2 (en) * 2014-06-20 2016-05-31 Heptagon Micro Optics Pte. Ltd. Compact light sensing modules including reflective surfaces to enhance light collection and/or emission, and methods of fabricating such modules
US9812478B2 (en) * 2015-03-05 2017-11-07 Omnivision Technologies, Inc. Aerogel-encapsulated image sensor and manufacturing method for same
TWI550842B (zh) * 2015-04-09 2016-09-21 力晶科技股份有限公司 影像感應器
CN106158891B (zh) * 2015-05-15 2019-07-05 台湾积体电路制造股份有限公司 用于提高光学性能和隔离的堆叠栅格设计
TWI537837B (zh) * 2015-06-11 2016-06-11 南茂科技股份有限公司 指紋辨識晶片封裝結構及其製作方法
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US10211244B2 (en) 2017-06-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device with reflective structure and method for forming the same
US10373999B2 (en) * 2017-09-29 2019-08-06 Taiwan Semiconductor Manufacturing Company Ltd. Image sensor and associated fabricating method
US10672934B2 (en) * 2017-10-31 2020-06-02 Taiwan Semiconductor Manufacturing Company Ltd. SPAD image sensor and associated fabricating method
EP3782190A4 (en) * 2018-04-16 2022-05-04 Applied Materials, Inc. MULTI-STACKED OPTICAL ELEMENTS USING TEMPORARY AND PERMANENT LINKAGE
US10854658B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with sidewall protection and method of making same
TWI712959B (zh) * 2018-09-19 2020-12-11 世界先進積體電路股份有限公司 光學感測器及其形成方法
US10915727B2 (en) 2018-12-28 2021-02-09 Vanguard International Semiconductor Corporation Optical sensor and method for forming the same
CN110174827B (zh) * 2019-04-30 2021-11-23 广东国溯科技有限公司 一种3d立体镭射防伪标签喷印装置及方法
US11682654B2 (en) * 2019-12-17 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a sensor device and method of manufacturing the same
US12021069B2 (en) * 2020-10-27 2024-06-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor die and photoelectric device integrated in same package
US11869910B1 (en) * 2023-03-30 2024-01-09 Visera Technologies Company Ltd. Light sensing element

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1828868A (zh) * 2005-02-14 2006-09-06 三星电子株式会社 具有改进的灵敏度的图像传感器及其制造方法
US20070241418A1 (en) * 2006-04-13 2007-10-18 Ming-I Wang Image sensing device and fabrication method thereof
CN101179089A (zh) * 2006-11-09 2008-05-14 联华电子股份有限公司 图像传感器及其制造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW466780B (en) * 2000-03-17 2001-12-01 Taiwan Semiconductor Mfg Method to accurately control the manufacturing of high performance photodiode
US6815787B1 (en) * 2002-01-08 2004-11-09 Taiwan Semiconductor Manufacturing Company Grid metal design for large density CMOS image sensor
US6855629B2 (en) * 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US6642076B1 (en) * 2002-10-22 2003-11-04 Taiwan Semiconductor Manufacturing Company Asymmetrical reset transistor with double-diffused source for CMOS image sensor
JP4175299B2 (ja) * 2003-07-23 2008-11-05 セイコーエプソン株式会社 カラーフィルタおよび表示装置
US7122840B2 (en) * 2004-06-17 2006-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor with optical guard ring and fabrication method thereof
KR100658930B1 (ko) * 2004-12-30 2006-12-15 매그나칩 반도체 유한회사 칼라별 초점 거리 조절이 가능한 이미지센서 및 그 제조방법
KR100672995B1 (ko) * 2005-02-02 2007-01-24 삼성전자주식회사 이미지 센서의 제조 방법 및 그에 의해 형성된 이미지 센서
US7666704B2 (en) * 2005-04-22 2010-02-23 Panasonic Corporation Solid-state image pickup element, method for manufacturing such solid-state image pickup element and optical waveguide forming device
KR100789578B1 (ko) * 2006-08-28 2007-12-28 동부일렉트로닉스 주식회사 이미지 센서 및 그 제조방법
CN100527444C (zh) 2006-11-10 2009-08-12 中国科学院合肥物质科学研究院 金属/氮化镓铝/氮化镓横向肖特基二极管及其制备方法
US7880253B2 (en) * 2006-11-28 2011-02-01 Stmicroelectronics Sa Integrated optical filter
KR100905596B1 (ko) * 2007-11-16 2009-07-02 주식회사 동부하이텍 이미지 센서 및 그 제조방법
KR20090056431A (ko) * 2007-11-30 2009-06-03 주식회사 동부하이텍 이미지센서 및 그 제조방법
US20090189233A1 (en) 2008-01-25 2009-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cmos image sensor and method for manufacturing same
TWI376795B (en) * 2008-06-13 2012-11-11 Taiwan Semiconductor Mfg Image sensor device and method for manufacturing the same
JP5595298B2 (ja) * 2010-04-06 2014-09-24 キヤノン株式会社 固体撮像装置及び撮像システム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1828868A (zh) * 2005-02-14 2006-09-06 三星电子株式会社 具有改进的灵敏度的图像传感器及其制造方法
US20070241418A1 (en) * 2006-04-13 2007-10-18 Ming-I Wang Image sensing device and fabrication method thereof
CN101179089A (zh) * 2006-11-09 2008-05-14 联华电子股份有限公司 图像传感器及其制造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10197716B2 (en) 2012-12-19 2019-02-05 Viavi Solutions Inc. Metal-dielectric optical filter, sensor device, and fabrication method
US10222523B2 (en) 2012-12-19 2019-03-05 Viavi Solutions Inc. Sensor device including one or more metal-dielectric optical filters

Also Published As

Publication number Publication date
US20090315131A1 (en) 2009-12-24
TW200952166A (en) 2009-12-16
CN101604700A (zh) 2009-12-16
US8222710B2 (en) 2012-07-17
US8816457B2 (en) 2014-08-26
US20120280346A1 (en) 2012-11-08
TWI376795B (en) 2012-11-11

Similar Documents

Publication Publication Date Title
CN101604700B (zh) 图像检测元件及其形成方法
US7541212B2 (en) Image sensor including an anti-reflection pattern and method of manufacturing the same
JP4436326B2 (ja) Cmosイメージ・センサ
JP4117672B2 (ja) 固体撮像素子及び固体撮像装置、並びにこれらの製造方法
CN100416842C (zh) 互补金属氧化物半导体图像传感器件的结构及其制造方法
US6841411B1 (en) Method of utilizing a top conductive layer in isolating pixels of an image sensor array
US9947714B2 (en) Methods of manufacturing image sensors
CN103681704A (zh) Bsi芯片中的多金属膜堆叠件
KR102288339B1 (ko) 반도체 이미지 센서
KR20040108240A (ko) 이미지 소자
CN100552965C (zh) 图像传感器及其制造方法
TW202329442A (zh) 具有在矽表面與第一層金屬之間以隔離光電二極體的壁之高動態範圍、背照式、低串擾影像感測器
US11652133B2 (en) Image sensor grid and method of manufacturing same
US11728362B2 (en) Image sensor pixel and metal shielding of charge storage device of image sensor pixel formed by one step process
JP7274393B2 (ja) 焦点面位相検知画素センサーを有する撮像アレイ及び撮像アレイにおいて焦点面位相検知を行うための方法
JP4836409B2 (ja) 光半導体集積回路装置
EP3633727B1 (en) Imaging array having improved surface-to-detector light transmission
KR100718771B1 (ko) 광 감도가 향상된 이미지센서의 제조방법
KR100683395B1 (ko) 광감도 개선을 위한 이미지센서 제조방법
KR20030056338A (ko) 광효율을 향상시키기 위한 이미지센서 및 그 제조 방법
KR20100073786A (ko) 이미지센서 및 그 제조방법
CN101114659A (zh) 一种cmos图像传感器及其制造工艺方法
JP2005286094A (ja) 光半導体集積回路装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant