CN101556934A - 半导体中浅槽的制作方法 - Google Patents

半导体中浅槽的制作方法 Download PDF

Info

Publication number
CN101556934A
CN101556934A CNA2009100515454A CN200910051545A CN101556934A CN 101556934 A CN101556934 A CN 101556934A CN A2009100515454 A CNA2009100515454 A CN A2009100515454A CN 200910051545 A CN200910051545 A CN 200910051545A CN 101556934 A CN101556934 A CN 101556934A
Authority
CN
China
Prior art keywords
layer
silicon nitride
hard mask
nitride hard
mentioned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2009100515454A
Other languages
English (en)
Inventor
张�雄
张博
孔蔚然
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Original Assignee
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huahong Grace Semiconductor Manufacturing Corp filed Critical Shanghai Huahong Grace Semiconductor Manufacturing Corp
Priority to CNA2009100515454A priority Critical patent/CN101556934A/zh
Publication of CN101556934A publication Critical patent/CN101556934A/zh
Pending legal-status Critical Current

Links

Images

Landscapes

  • Element Separation (AREA)

Abstract

本发明提出一种浅槽制作方法,用于在基底层中形成浅槽,其特征是,包括以下步骤:在基底层上依次形成栅氧化层、多晶硅栅层和氮化硅硬掩膜层;在氮化硅硬掩膜层上涂覆光刻胶,并将光刻胶形成图案化的光刻胶层;以图案化光刻胶层为掩膜,进行蚀刻,穿过氮化硅硬掩膜层停在多晶硅栅层上;除去图案化光刻胶层;以及以氮化硅硬掩膜层为掩膜,进行浅槽蚀刻,在基底层中形成浅槽。本发明提出的工艺,因为进行了两次小规模的蚀刻,并且利用了氮化硅硬掩膜层进行第二次蚀刻而形成浅槽,有引入更少的蚀刻负载,有着更好的线宽控制,有利于器件尺寸的进一步缩减。

Description

半导体中浅槽的制作方法
技术领域
本发明涉及一种半导体制作工艺流程,更涉及一种半导体中浅槽的制作方法。
背景技术
在元件集成度日趋紧密的今天,元件之间的隔离变得十分重要,为防止此相邻的晶体管发生短路,通常会在其间加入一隔离结构。
元件隔离普遍的技术为硅局部氧化技术(LOCOS)。然而,Locos仍具有多项缺点,包括已知应力产生的相关问题与LOCOS场隔离结构周围鸟嘴区(bird’sbeak)的形成等。而特别是鸟嘴区所造成的问题,使得在小型元件上的LOCOS场隔离结构不能有效地隔离。
有鉴于此,在工艺中以有其它元件隔离方法持续被发展出来,其中以浅槽隔离(Shallow Trench Isolation,STI)最被广泛应用,以加强隔离MOS晶体管。
如图1a~图1c所示,现有的浅沟槽隔离的制造流程为在基底层101上依序形成垫氧化层102和氮化硅掩模层104。然后在氮化硅掩膜层104上覆盖一层光刻胶,并对光刻胶进行曝光、显影工艺,以形成图案化的光刻胶层106。接着,以图案化的光刻胶层106为掩膜,进行蚀刻工艺,在基底101中形成浅槽107。
这种工艺有较大的蚀刻负载,不容易进行线宽控制,因此不利于器件尺寸的缩减。
发明内容
本发明提出一种半导体中浅槽制作工艺,能够解决上述问题。
为了达到上述目的,本发明提出一种浅槽制作方法,用于在基底层中形成浅槽,其特征是,包括以下步骤:
在基底层上依次形成栅氧化层、多晶硅栅层和氮化硅硬掩膜层;
在氮化硅硬掩膜层上涂覆光刻胶,并将光刻胶形成图案化的光刻胶层;
以图案化光刻胶层为掩膜,进行蚀刻,穿过氮化硅硬掩膜层停在多晶硅栅层上;
除去图案化光刻胶层;以及
以氮化硅硬掩膜层为掩膜,进行浅槽蚀刻,在基底层中形成浅槽。
可选的,栅氧化层的材料是氧化硅,形成方法为热氧化法。
可选的,氮化硅硬掩膜层的形成方法为化学气相沉积法。
可选的,形成图案化的光刻胶层是利用了对光刻胶进行曝光、显影的方法。
本发明提出的工艺,因为进行了两次小规模的蚀刻,并且利用了氮化硅硬掩膜层进行第二次蚀刻而形成浅槽,有引入更少的蚀刻负载,有着更好的线宽控制,有利于器件尺寸的进一步缩减。
附图说明
图1a~1c所示为目前在基底层中形成浅槽的工艺流程示意图;
图2a~图2f所示为本发明提出的在基底层中形成浅槽的工艺流程示意图。
具体实施方式
为了更了解本发明的技术内容,特举具体实施例并配合所附图式说明如下。
图2a~图2f所示为本发明较佳实施例中浅槽的制作工艺步骤:
首先,在基底层201上形成栅氧化层202、多晶硅(Polycrystalline silicon)栅层203、氮化硅硬掩膜层204(如图2a所示)。其中,栅氧化层202的材料例如是氧化硅,形成方法包括热氧化法(Thermal Oxidation)。氮化硅硬掩膜层204的形成方法包括化学气相沉积法(Chemical Vapor Deposition,CVD)。
接着,在氮化硅硬掩膜层204上涂覆一层光刻胶205(如图2b所示),涂覆光刻胶205的方式可以是,先将光刻胶205滴到氮化硅硬掩膜层204上,再旋转晶片,使光刻胶205涂布均匀。
然后,对光刻胶205进行图案化处理,形成图案化的光刻胶层206(如图2c所示)。其中,图案化光刻胶205包括曝光、显影等步骤。
接下来以图案化的光刻胶层206为掩膜,进行蚀刻,停在多晶硅栅层203上(如图2d所示)。本步骤中,在氮化硅硬掩膜层204中形成了沟槽207。
除去图案化光刻胶层206(如图2e所示)。
以氮化硅硬掩膜层204为掩膜,进行浅槽蚀刻,在基底层201中形成浅槽208(如图2f所示)。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明。本发明所属技术领域中具有通常知识者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰。因此,本发明的保护范围当视权利要求书所界定者为准。

Claims (4)

1.一种浅槽制作方法,用于在基底层中形成浅槽,其特征是,包括以下步骤:
在基底层上依次形成栅氧化层、多晶硅栅层和氮化硅硬掩膜层;
在上述氮化硅硬掩膜层上涂覆光刻胶,并将上述光刻胶形成图案化的光刻胶层;
以上述图案化光刻胶层为掩膜,进行蚀刻,穿过上述氮化硅硬掩膜层停在上述多晶硅栅层上;
除去上述图案化光刻胶层;以及
以上述氮化硅硬掩膜层为掩膜,进行浅槽蚀刻,在基底层中形成浅槽。
2.根据权利要求1所述的温度控制方法,其特征是,上述栅氧化层的材料是氧化硅,形成方法为热氧化法。
3.根据权利要求1所述的温度控制方法,其特征是,上述氮化硅硬掩膜层的形成方法为化学气相沉积法。
4.根据权利要求1所述的温度控制方法,其特征是,形成上述图案化的光刻胶层是利用了对光刻胶进行曝光、显影的方法。
CNA2009100515454A 2009-05-19 2009-05-19 半导体中浅槽的制作方法 Pending CN101556934A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNA2009100515454A CN101556934A (zh) 2009-05-19 2009-05-19 半导体中浅槽的制作方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNA2009100515454A CN101556934A (zh) 2009-05-19 2009-05-19 半导体中浅槽的制作方法

Publications (1)

Publication Number Publication Date
CN101556934A true CN101556934A (zh) 2009-10-14

Family

ID=41174984

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2009100515454A Pending CN101556934A (zh) 2009-05-19 2009-05-19 半导体中浅槽的制作方法

Country Status (1)

Country Link
CN (1) CN101556934A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187352A (zh) * 2011-12-29 2013-07-03 无锡华润上华科技有限公司 半导体器件的制作方法
CN103738914A (zh) * 2014-01-09 2014-04-23 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
CN105336849A (zh) * 2014-06-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Mram器件的形成方法
CN106298494A (zh) * 2015-06-24 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种多晶硅刻蚀方法
CN117577643A (zh) * 2024-01-19 2024-02-20 安徽大学 一种半导体结构及其制造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187352A (zh) * 2011-12-29 2013-07-03 无锡华润上华科技有限公司 半导体器件的制作方法
WO2013097551A1 (zh) * 2011-12-29 2013-07-04 无锡华润上华科技有限公司 半导体器件的制作方法
CN103738914A (zh) * 2014-01-09 2014-04-23 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
CN103738914B (zh) * 2014-01-09 2016-01-20 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
CN105336849A (zh) * 2014-06-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Mram器件的形成方法
CN105336849B (zh) * 2014-06-12 2018-01-09 中芯国际集成电路制造(上海)有限公司 Mram器件的形成方法
CN106298494A (zh) * 2015-06-24 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种多晶硅刻蚀方法
CN106298494B (zh) * 2015-06-24 2020-06-09 中芯国际集成电路制造(上海)有限公司 一种多晶硅刻蚀方法
CN117577643A (zh) * 2024-01-19 2024-02-20 安徽大学 一种半导体结构及其制造方法
CN117577643B (zh) * 2024-01-19 2024-04-09 安徽大学 一种半导体结构及其制造方法

Similar Documents

Publication Publication Date Title
CN1959957B (zh) 使用应变硅用于晶体管的集成设计方法和结构
US7297598B2 (en) Process for erase improvement in a non-volatile memory device
US8269281B2 (en) Method for forming gate oxide of semiconductor device
CN101556934A (zh) 半导体中浅槽的制作方法
US9871064B1 (en) Method for forming shallow trenches of the dual active regions
US20090325106A1 (en) Method for Implant Imaging with Spin-on Hard Masks
US6534363B2 (en) High voltage oxidation method for highly reliable flash memory devices
US7847401B2 (en) Methods, systems and structures for forming semiconductor structures incorporating high-temperature processing steps
KR20100059297A (ko) 반도체 소자의 제조 방법
US7687388B2 (en) Method of fabricating semiconductor high-voltage device comprising the steps of using photolithographic processes to form nitride spacer regions and dry etch process to form deep trench regions
US20100001354A1 (en) Self aligned mos structure with polysilicon contact
US20180233360A1 (en) Removal of trilayer resist without damage to underlying structure
US6809033B1 (en) Innovative method of hard mask removal
US10147611B1 (en) Method for preparing semiconductor structures
JP4472434B2 (ja) 半導体装置の製造方法
US7761838B2 (en) Method for fabricating a semiconductor device having an extended stress liner
US7842578B2 (en) Method for fabricating MOS devices with a salicided gate and source/drain combined with a non-silicide source drain regions
US9589828B2 (en) Method for photolithography-free self-aligned reverse active etch
CN107039347B (zh) 使用虚设栅极形成具有应力的外延层
JP2008277320A (ja) 半導体装置の製造方法
US9362125B2 (en) Semiconductor process
US11638378B2 (en) Method of fabricating semicondoctor device
CN103187352A (zh) 半导体器件的制作方法
CN117174659A (zh) 晶体管的制造方法
CN104867864B (zh) 一种实现局部互连的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20091014