CN101526582A - 边界扫描测试向量生成方法 - Google Patents

边界扫描测试向量生成方法 Download PDF

Info

Publication number
CN101526582A
CN101526582A CN200810026673A CN200810026673A CN101526582A CN 101526582 A CN101526582 A CN 101526582A CN 200810026673 A CN200810026673 A CN 200810026673A CN 200810026673 A CN200810026673 A CN 200810026673A CN 101526582 A CN101526582 A CN 101526582A
Authority
CN
China
Prior art keywords
test vector
test
generating method
boundary scanning
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200810026673A
Other languages
English (en)
Inventor
刘占锋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitac Computer Shunde Ltd
Shunda Computer Factory Co Ltd
Original Assignee
Mitac Computer Shunde Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitac Computer Shunde Ltd filed Critical Mitac Computer Shunde Ltd
Priority to CN200810026673A priority Critical patent/CN101526582A/zh
Publication of CN101526582A publication Critical patent/CN101526582A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

本发明揭示了一种边界扫描测试向量生成方法,其是通过整合被测装置的测试信息,而生成测试向量;具体步骤如下:a.提取测试信息;b.分析测试信息,生成测试向量矩阵;该种向量矩阵可为单个测试向量矩阵及网络互连测试向量矩阵,分别可进行单个装置自身测试及互联网络测试;本方法是通过整合被测装置的测试信息,而生成测试向量;通过本方法可方便生成测试向量及提取诊断所需信息,同时生成的测试向量可使检测较完备、操作更简便。

Description

边界扫描测试向量生成方法
技术领域
本发明涉及到一种测试向量生成方法,特别是涉及到一种边界扫描测试向量生成方法。
背景技术
对电路板上故障的检测,通常是采用探针、针床等传统检测设备进行测试。随着集成电路的发展进入超大规模集成电路时代,电路板的高度复杂性以及多层印制板、表面封装(SMT)、球栅阵列(BGA)、圆片规模集成(WSI)和多芯片模块(MCM)技术在电路***中的适用,使得电路节点的物理可访问性正逐步削弱以至消失,电路和***的可测试性急剧下降。由于电路板的集成度越来越大,可供测试的结点间距离越来越小,有的甚至完全成为隐性结点,在这种情况下,如果只采用探针、针床等传统测试设备进行芯片故障测试就存在很多弊端,甚至无法进行有效测试。首先是芯片引脚间距越来越小,探针伸上去比较困难,如果一定要将探针伸上去还有可能损伤芯片本身;其次有的芯片引脚已经成为隐性结点,根本无法使用探针,比如BGA封装的芯片和MCM芯片等。这不但使测试成本在电路和***总开销中所占比例不断上升,测试周期加长,而且仍然有很多不可测的情况存在,因此常规测试方法正面临着日趋严重的测试困难。
针对这种情况,电子测试的研究方向也从接触式测试、测试针床、测试分析仪器等传统测试方法发展到了研究在电子***甚至芯片设计时就考虑***测试问题的新兴设计方法-DFT,通过它来解决现代***的测试问题。作为可测试性设计的结构化设计方法,主要有以下几种:扫描通路法、随机存取扫描法、扫描置入法、边界扫描(Boundary Scan)等。而边界扫描就是其中一种重要的有效的测试方法。
而在边界扫描中通常是通过对被测装置发送测试向量、取得反馈信息,并对反馈信息进行分析得出测试结果的。因此,在边界扫描中向量的生成是测试是否能顺利进行的关键问题。
发明内容
本发明的目的为提出一种方便生成测试向量及提取诊断所需信息、检测较完备的边界扫描测试向量生成方法,解决了边界扫描中向量生成及诊断信息提取的问题。
本发明技术方案为:一种边界扫描测试向量生成方法,其是通过整合被测装置的测试信息,而生成测试向量;
具体步骤如下:
a.提取测试信息;
b.分析测试信息,生成测试向量矩阵。
上述步骤b中测试向量矩阵可有两种方式:
当被测试的是单个装置时,只需进行单个装置自身测试,此种情况,则依据走步0算法生成单个测试向量矩阵;
当被测试为的是两个以上装置时,需进行互联网络测试,此种情况,则通过对所有网络中输出单元对应位置1、置0生成网络互连测试向量矩阵。
本方法是通过整合被测装置的测试信息,而生成测试向量;通过本方法可方便生成测试向量及提取诊断所需信息,同时生成的测试向量可使检测较完备、操作更简便。
附图说明
图1为本发明方法流程示意图。
具体实施方式
以下结合附图详述本发明的实施例。
本发明的方法是利用支持JTAG(Joint Text Action Group)标准的芯片的BSDL(Boundary-Scan Description Language)文件及电路板的.NET文件(例:Board Netlist文件)生成可用于JTAG边界扫描故障检测的测试向量档及诊断信息档。
图1为本发明方法流程示意图,如图所示,一种边界扫描测试向量生成方法,其是通过整合被测装置(芯片)的测试信息,而生成测试向量;
具体步骤如下:
a.提取测试信息;提取由用户提供的各芯片的BSDL文件及电路板.NET文件中的相关信息;
a1.紧跟步骤a之后,还可设置一判断所提取测试信息(BDSL文件)是否与被测芯片匹配步骤:如是,则执行下一步骤;否,则结束。
a2.如果所提取的BDSL文件与被测芯片匹配,则依据新片在扫描链中的顺序依次读取其BSDL文件中相关信息。
b.分析测试信息,生成测试向量矩阵;通过特定算法及逻辑,生成所需的测试向量文件及相关的诊断信息文件。
在步骤b之前,可设有一被测装置判定步骤:如果装置为一个,则b步骤中依据走步0算法生成单个测试向量矩阵;根据读取的信息对具有可测试边界扫描单元的并脚,依据走步0算法生成测试向量矩阵,并将测试矩阵及提取的测试相关信息(如,指令长度,相关指令串,向量数目等)写入TVF(test vectorfile)文件,存储,在下次检测时,调用该等TVF文件,则可生成相应测试向量矩阵,进行单个装置自身测试。
如果装置为两个以上,则b步骤中通过对所有网络中输出单元对应位置1、置0生成网络互连测试向量矩阵,并将测试矩阵及提取的测试相关信息(如,指令长度,相关指令串,向量数目等)写入TVF文件,存储,在下次检测时,调用该等TVF文件,则可生成相应测试向量矩阵,进行互联网络测试。
在步骤b之后,还设有一生成判定及显示诊断结果文件步骤;将BSDL文件中提前的诊断相关信息(如并脚名称,对应的边界扫描单元信息等)写入IFF(information file)文件,生成判定及显示诊断结果文件;当步骤b中生成的向量矩阵发送到被测芯片后,可接收到芯片的反馈信息,利用该IFF文件与芯片反馈信息进行对比,得出对被测芯片的检测结果,并可显示出该种测试结果。
测试向量生成方法结束。

Claims (6)

1.一种边界扫描测试向量生成方法,其特征在于,其是通过整合被测装置的测试信息,而生成测试向量;
具体步骤如下:
a.提取测试信息;
b.分析测试信息,生成测试向量矩阵。
2.根据权利要求1所述的边界扫描测试向量生成方法,其特征在于,在步骤b之前,可设有一被测装置判定步骤:如果装置为一个,则b步骤中生成单个测试向量矩阵;如果装置为两个以上,则b步骤中生成网络互连测试向量矩阵。
3.根据权利要求2所述的边界扫描测试向量生成方法,其特征在于,该单个测试向量矩阵是依据走步0算法生成。
4.根据权利要求2所述的边界扫描测试向量生成方法,其特征在于,该网络互连测试向量矩阵是通过对所有网络中输出单元对应位置1、置0生成。
5.根据权利要求1所述的边界扫描测试向量生成方法,其特征在于,在步骤b之后,还设有一生成判定及显示诊断结果文件步骤。
6.根据权利要求1所述的边界扫描测试向量生成方法,其特征在于,紧跟步骤a之后,还可设置一判断所提取测试信息是否与被测装置匹配步骤:如是,则执行步骤a;否,则结束。
CN200810026673A 2008-03-07 2008-03-07 边界扫描测试向量生成方法 Pending CN101526582A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN200810026673A CN101526582A (zh) 2008-03-07 2008-03-07 边界扫描测试向量生成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200810026673A CN101526582A (zh) 2008-03-07 2008-03-07 边界扫描测试向量生成方法

Publications (1)

Publication Number Publication Date
CN101526582A true CN101526582A (zh) 2009-09-09

Family

ID=41094550

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810026673A Pending CN101526582A (zh) 2008-03-07 2008-03-07 边界扫描测试向量生成方法

Country Status (1)

Country Link
CN (1) CN101526582A (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102323539A (zh) * 2011-07-29 2012-01-18 清华大学 延迟故障的测试向量集的生成方法
CN102495347A (zh) * 2011-12-01 2012-06-13 北京航天测控技术有限公司 一种边界扫描互联网络测试向量生成方法
CN106526460A (zh) * 2016-12-29 2017-03-22 北京航天测控技术有限公司 一种电路故障定位方法及装置
CN109445413A (zh) * 2018-10-26 2019-03-08 电子科技大学 一种大规模电路互连网络的测试向量自动生成方法
CN109655736A (zh) * 2018-12-21 2019-04-19 中国航空工业集团公司洛阳电光设备研究所 一种芯片焊接故障快速精确定位的方法
CN111426937A (zh) * 2020-04-07 2020-07-17 吉林大学 一种基于无故障信息测试分数的故障诊断方法
CN112415301A (zh) * 2020-10-27 2021-02-26 成都飞机工业(集团)有限责任公司 一种电子产品测试过程结构化描述方法
CN113849419A (zh) * 2021-12-02 2021-12-28 上海燧原科技有限公司 芯片的测试向量生成方法、***、设备及存储介质

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102323539A (zh) * 2011-07-29 2012-01-18 清华大学 延迟故障的测试向量集的生成方法
CN102323539B (zh) * 2011-07-29 2013-06-19 清华大学 延迟故障的测试向量集的生成方法
CN102495347A (zh) * 2011-12-01 2012-06-13 北京航天测控技术有限公司 一种边界扫描互联网络测试向量生成方法
CN102495347B (zh) * 2011-12-01 2014-04-16 北京航天测控技术有限公司 一种边界扫描互联网络测试向量生成方法
CN106526460A (zh) * 2016-12-29 2017-03-22 北京航天测控技术有限公司 一种电路故障定位方法及装置
CN106526460B (zh) * 2016-12-29 2019-06-04 北京航天测控技术有限公司 一种电路故障定位方法及装置
CN109445413A (zh) * 2018-10-26 2019-03-08 电子科技大学 一种大规模电路互连网络的测试向量自动生成方法
CN109655736A (zh) * 2018-12-21 2019-04-19 中国航空工业集团公司洛阳电光设备研究所 一种芯片焊接故障快速精确定位的方法
CN111426937A (zh) * 2020-04-07 2020-07-17 吉林大学 一种基于无故障信息测试分数的故障诊断方法
CN111426937B (zh) * 2020-04-07 2021-09-24 吉林大学 一种基于无故障信息测试分数的故障诊断方法
CN112415301A (zh) * 2020-10-27 2021-02-26 成都飞机工业(集团)有限责任公司 一种电子产品测试过程结构化描述方法
CN113849419A (zh) * 2021-12-02 2021-12-28 上海燧原科技有限公司 芯片的测试向量生成方法、***、设备及存储介质

Similar Documents

Publication Publication Date Title
CN101526582A (zh) 边界扫描测试向量生成方法
US9297855B1 (en) Integrated circuit with increased fault coverage
EP1364436A4 (en) METHOD AND APPARATUS FOR DIAGNOSING FAILURES IN AN INTEGRATED CIRCUIT USING INTEGRATED TROUBLESHOOTING TECHNIQUES
CN101526581A (zh) 边界扫描芯片故障检测装置及方法
US20080189583A1 (en) Apparatus, and computer program product for implementing deterministic based broken scan chain diagnostics
US7146584B2 (en) Scan diagnosis system and method
CN103675576B (zh) 基于边界扫描的芯片连接测试***及其方法
CN1253794C (zh) 一种非边界扫描器件逻辑簇故障测试方法
US8423845B2 (en) On-chip logic to log failures during production testing and enable debugging for failure diagnosis
Gearhardt et al. Improving fault isolation using iterative diagnosis
CN1435695A (zh) 基于边界扫描器件的电路板互连线的测试方法
US6651197B1 (en) Method for determining the optimum locations for scan latches in a partial-scan IC built in self test system
Song et al. Diagnostic techniques for the IBM S/390 600 MHz G5 microprocessor
CN102565664B (zh) 一种测试覆盖率的评估方法
KR100694315B1 (ko) 다중 시스템 클럭 및 이종 코어를 포함하는 시스템 온 칩용연결선 지연 고장 테스트 제어기
US20120253731A1 (en) Functional asic verification using initialization microcode sequence
Lu et al. A general fault injection method based on jtag
Appello et al. Yield analysis of logic circuits
Kinra et al. Diagnostic techniques for the UltraSPARC/sup TM/microprocessors
Takahashi et al. BIST based fault diagnosis using ambiguous test set
Lu et al. Speeding up emulation-based diagnosis techniques for logic cores
Burmer et al. Timing Failure Debug using Debug-Friendly Scan Patterns and TRE
Ferreira et al. A modular architecture for BIST of boundary scan boards
Yi et al. Interconnect delay fault test on boards and SoCs with multiple clock domains
Raymond et al. Algorithmic extraction of BSDL from 1149.1-compliant sample ICs

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090909