CN101065513B - 用于改良瞬时相沉积的气体分配*** - Google Patents

用于改良瞬时相沉积的气体分配*** Download PDF

Info

Publication number
CN101065513B
CN101065513B CN2005800408151A CN200580040815A CN101065513B CN 101065513 B CN101065513 B CN 101065513B CN 2005800408151 A CN2005800408151 A CN 2005800408151A CN 200580040815 A CN200580040815 A CN 200580040815A CN 101065513 B CN101065513 B CN 101065513B
Authority
CN
China
Prior art keywords
gas
channel
ring
passage
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800408151A
Other languages
English (en)
Other versions
CN101065513A (zh
Inventor
苏达哈尔·贡德哈利卡
罗伯特·邓肯
塞玛可·萨力米恩
穆罕姆德·M·拉希德
哈瑞·S·怀特赛尔
布鲁诺·杰弗里昂
帕德曼那泊罕·克里希纳拉杰
鲁道夫·古杰尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101065513A publication Critical patent/CN101065513A/zh
Application granted granted Critical
Publication of CN101065513B publication Critical patent/CN101065513B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的实施例关于一种气体分配***,其可将气体均匀地分配至工艺处理室。于一实施例中,气体分配***包括一气体环,其包括一外表面及一内表面;以及一气体入口,设在该气体环的外表面处。该气体入口是可流通地与一第一通道耦接,该第一通道是设在气体环的外表面及内表面之间。数个气体出口分配在气体环内表面,且是可流通地与一设于气体环外表面及内表面之间的第二通道相耦接。数个孔洞是可流通地耦接于第一通道及第二通道之间。数个孔洞与气体入口相隔若干距离,且尺寸随着沿该第一通道测量的气体入口的距离而改变,以使孔洞尺寸随着沿该第一通道测量的孔洞及气体入口间的距离的增加而增加。

Description

用于改良瞬时相沉积的气体分配***
技术领域
本发明大致是有关于半导体工艺,且更明确而言,是关于,例如用于化学气相沉积处理室的改良的气体分配***,以提供改良的瞬时相沉积。
背景技术
制造现今半导体元件的主要步骤之一是通过气体的化学反应在半导体基材上形成薄层。此种沉积工艺一般称为化学气相沉积(CVD)。传统热化学气相沉积工艺是将反应性气体供应至基材表面,以于该处发生热诱发的化学反应而形成所欲薄层。另一方面,等离子体增强型化学气相沉积(PECVD)技术则可通过射频能量(RF)的应用,促进反应性气体在靠近基材表面反应区作激发及/或分解,用以形成等离子体。等离子体中高反应性的物种可产生发生化学反应的所需能量,因此与传统热CVD工艺相比降低了CVD工艺需要的温度。而高密度等离子体(HDP)CVD技术更进一步彰显了此等优点,因致密的等离子体是在低真空压力下形成,故使得等离子体物种更具反应性。「高密度」可由字面上了解其表示具有等于或高于1011离子/立方公分的离子密度。
利用HDP-CVD技术的特定应用包括浅沟渠隔离(STI)、前金属介电质(PMD)应用以及中间金属介电质(IMD)应用。然而此等多样应用中的沉积特性会因具不同组成物的邻接层间的扩散而有所影响,扩散会影响所得层结构的特定所欲特性。为避免此种扩散,业界所采用的解决方式之一包括沉积额外的中间阻障层(intermediate barrier layer)。例如,当于IMD应用中沉积经掺杂的硅氧化物时,扩散至金属的掺杂物会在氧化物/金属介面处形成不乐见的化学物种,使得氧化物及金属间的黏着力变差。在沉积掺杂硅氧化物层之前在金属上沉积富含硅的衬垫层可避免掺杂物扩散。阻障层的使用对于结构黏着性的改善有相当大的帮助。在许多应用中,形成特定结构时沉积阻障层几已成为例行步骤。例如,在利用HDP-CVD的氟化硅玻璃应用中,富含硅的氧化物衬垫层常沉积掺杂氟的硅氧化物层之前形成在基材上。
在HDP-CVD反应器中沉积初始沉积层或衬垫层是避免等离子体伤害的关键要素。然而由于初始沉积瞬时相的不均匀气体分配,达到均匀衬垫层确有难度。目前沉积均匀衬垫层的一种方法是利用低压轰击,亦即处理室中的气体混合物中不含等离子体。于混合步骤期间,基材是在不使用等离子体下作冷却,用以降低衬垫层的沉积温度。衬垫层先趋物气体通常包括氧气及硅源气体(例如硅烷),也可能为含氟气体(例如四氟化硅)。预混合步骤后的等离子体轰击可通过低压轰击的处理方式进行,例如描述于1999年12月23日所申请,目前正审查中,且共同让予本申请受让人的美国专利申请第09/470,819号,其标题为「LOW PRESSURE STRIKE INHDP-CVD CHAMBER」。等离子体起始期间,使用低压轰击可避免等离子体的不稳定,否则会造成薄膜品质的不一致。
另一方面,现已观察到在HDP-CVD反应器中尽可能升高沉积温度为一种关键的缝隙填充法。然而通过低压轰击降低沉积温度的方式将使缝隙填充品质劣化。
发明内容
本发明的所述实施例关于气体分配***,其在气体最初由气体分配***流至工艺处理室时的瞬时相期间,可使气体以较为均匀的方式分配至工艺处理室。于特定实施例中,气体分配***可结合外通道及内通道间的不同孔洞尺寸。经由气体入口流至外通道的气体会接着经过不同尺寸的孔洞至内通道。当沿外通道测量时,孔洞尺寸是随孔洞及气体入口间的距离增加。以此方式,气体会更均匀的流至内气体通道,因为内气体通道是流通地耦接至数个设于处理室四周的气体出口,以将气体引入处理室。外通道及内通道是以圆形气体环方式设于工艺处理室四周。在进行低压轰击法后,气体分配***可用以沉积均匀衬垫层而无须降低初始沉积温度,用以确保沉积的品质,包括良好的缝隙填充特性。
依据本发明的一态样中,气体分配***包括一气体环,其具有一外表面及一内表面;以及一气体入口,设在气体环的外表面处。气体入口可流通地与第一通道耦接,该第一通道设于气体环的外表面及内表面之间。数个气体出口则分布在气体环的整个内表面,其并流通地与设于气体环的外表面及内表面间的第二通道相耦接。数个孔洞则可流通地耦接在第一通道及第二通道之间。数个孔洞则与气体入口相隔若干距离,且在沿第一通道测量时,其尺寸随着距气体入口的距离而变化,以使孔洞尺寸在沿着第一通道测量时随着孔洞及气体入口间的距离而增加。
依据本发明的另一态样,将气体流入处理室以处理基材的分配方法包括提供一气体环,其包括一外表面及一内表面、一设于该外表面及内表面间的第一通道、以及一设于该外表面及内表面间的第二通道。该第一通道通过数个孔洞而可流通地与第二通道耦接。气体经由气体入口(设在气体环的外表面处)流至气体环。经由气体入口流至第一通道的气体通过数个孔洞流至第二通道,并通过数个气体孔洞(可流通地与第二通道耦接)流至处理室。数个孔洞与气体入口相隔若干距离。所述孔洞具有不同尺寸,以在气体最初引入气体环时的瞬时期间由气体出口提供大致均匀的气体分配至处理室。
附图说明
图1是依据本发明的一高密度等离子体化学气相沉积(HDP-CVD)***的实施例的简略图示;
图2是一可适用于图1的例示性HDP-CVD***的气体环的简略节面图;
图3是依据本发明的一实施例的气体环的截面图;
图4是图3气体环的一部分的放大截面图;
图5是利用习知气体环沉积于一基材上的层的厚度变化图;
图6是图5的层于相同条件下利用本发明一实施例的气体环沉积于一基材上的层的厚度变化图。
主要元件符号说明
10  ***    13  处理室
14  圆盖    16  等离子体工艺区
17  基材    18  基材支撑件
19  基材接收部    20  静电吸盘
21  基部    22  本体元件
23  加热板  24  冷却板顶
25  节流阀本体  26  三片式节流阀
27  闸口阀  28  隔绝泵
29  上线圈  30  侧线圈
31A 产生器  31B SRF产生器
31C 偏压RF(BRF)产生器32C  偏压匹配网路
32A 匹配网路32B  匹配网路
33  气体分配***34A-34B  处理室
34A-34B  第一及第二气体源
34B 气体来源
34C-34D  第三及第四气体源
34E 清洁气体源
35A-35B  第一及第二气流控制器
35C-35D’第三及第四气体流控制器
35B-35B’两个MFCs36  气体环空间
37  气体环  38  气体传送线
38A 传送线  39  气体喷嘴
40  气体喷嘴41  本体空间
43B 阀门    43A 阀门
43C 阀门    44  真空前管线
45  上喷嘴  46  上排出口
48  上空间  50  远端等离子体清洁***
53  反应器活动  54  清洁气体馈送端口
55  施加管  56  下处理位置
57  上装载位置  70  真空***
80B 偏压等离子体***  80A  等离子体***
300 气体环  302  外表面
304 内表面  306  气体入口
308 第一通道310  第二通道
312 六个孔洞312b   中间孔洞
312c最远孔洞  312a 最近孔洞
316 第一气体孔洞  318  第二气体孔洞
320 两个第一通道端324  线
330 插塞  500  习知气体环
502 气体入口  504  外通道
506 内通道  508  两个孔洞
510 第一气体孔洞  512  第二气体孔洞
620 基材
具体实施方式
图1是说明一利用高密度等离子体化学气相沉积(HDP-CVD)***10的实施例,该***可沉积介电层。***10包括一处理室13、一真空***70、一来源等离子体***80A、一偏压等离子体***80B、一气体分配***33以及一远端等离子体清洁***50。
处理室13的上方部包括一圆盖14,其是由陶瓷介电材料制成,例如氧化铝或氮化铝。圆盖14可界定等离子体处理区16的上边界。等离子体处理区16是以底部的基材17上表面及基材支撑件18作为边界。
加热板23及冷却板24高于圆盖14并与的热耦接。加热板23及冷却板24可将圆盖温度控制在约100℃至200℃范围间约±10℃内。此可最佳化圆盖温度以适用不同工艺。例如,一般都希望将圆盖保持在较沉积工艺为高的温度,以用于清洁或蚀刻工艺。正确的控制圆盖温度也可降低处理室中碎片或微粒的量,并改善沉积层与基材间的黏着性。
一般而言,暴露在等离子体下可加热置于基材支撑件18上的基材。基材支撑件18包括内通道及外通道(未示出),以将热转换气体(有时称为背侧冷却气体)传递至基材背侧。
处理室13的下部包括一本体元件22,其可将处理室连接至真空***。基材支撑件18的基部21是安装在本体元件22并形成连续内表面。基材则通过机械叶片(未示出)传送进出于处理室13侧边的送入/移出开口(未示出)。升举销(未示出)可在马达(同样未示出)的控制下作上升及下降,以将上装载位置57处的基材自机械叶片移至下处理位置56,基材是于该处置放在基材支撑件18的基材接收部19上。基材接收部19包括一静电吸盘20,其在基材工艺期间可将基材固定在基材支撑件18上。于一较佳实施例中,基材支撑件18是由氧化铝或铝陶瓷材料制成。
真空***70包括节流本体25,其遮罩三片式节流阀
且是连接至闸口阀27及涡轮分子泵28。应注意的是节流本体25可提供气体流的最小阻塞,以进行同步抽吸。闸口阀27可隔绝泵28与节流本体25,且也可通过限制节流阀26完全开启时的排出流量而控制处理室压力。节流阀、闸口阀以及涡轮分子泵的配置可精确且稳定的将处理室压力控制在约1毫托耳(milli-Torr)至约2托耳之间。
来源等离子体***80A包括一上线圈29及侧线圈30,皆安装在圆盖14上。对称的接地档板(未示出)可降低线圈间的电性耦合。上线圈29是由上来源射频(SRF)产生器31A供给电源,而侧线圈30可由侧SRF产生器31B供给电源,以对各线圈提供独立电源位隼及操作频率。此种双线圈***可控制处理室13中的辐射离子密度,用以改善等离子体均匀性。侧线圈30及上线圈29一般是感应性的驱动,其不需辅助电极。于一特定实施例中,上电源RF产生器31A可提供约8000瓦(7kW)或名义上高于2MHz的RF电源,而侧来源RF产生器31B可提供至多8000瓦(5kW)或名义上高于2MHz的RF电源。上方及侧RF产生器的操作频率可偏离名义上的操作频率(例如分别达1.7-1.9MHz及1.9-2.1MHz),以改善等离子体形成效率。
偏压等离子体***80B包括偏压RF(BRF)产生器31C及偏压匹配网路32C.偏压等离子体***80B可电容地将基材部17耦接至本体元件22,其是作为辅助电极.偏压等离子体***80B可用于增强来源等离子体***80A所形成的等离子体物种(例如离子)至基材表面的传送.于一特定实施例中,偏压RF产生器可提供8000瓦或高于13.56MHz的RF电源.
RF产生器31A及31B包括数位控制的合成器,且可操作在约1.8至约2.1MHz间的频率范围。各产生器包括一RF控制电路(未示出),用以测量自处理室及线圈反射至产生器的电源,并调整操作频率以得最低反射电源,如熟习此项技术人士所了解者。RF产生器一般是经设计以操作在具50欧姆特性阻抗的负载。RF电源可自具有与产生器不同特性阻抗的负载反射。此可降低转换至负载的电源。此外,由负载反射至产生器的电源可能或超载并伤害产生器。因为等离子体阻抗可能介于5欧姆以下至900欧姆以上,取决于等离子体离子密度或其他因素,且因为反射的电源为频率的函数,故依据所反射的电源调整产生器频率,可增加自RF产生器转换成等离子体的电源,并保护产生器。另种降低反射电源并改善效率的方法是配合匹配网路。
匹配网路32A及32B可以其各自的线圈29及30匹配产生器31A及31B的输出阻抗。RF控制电路可通过改变匹配网路内电容值的方式调整两匹配网路,以在负载改变时将产生器匹配至负载。RF控制电路可在负载的电源反射至产生器超过一特定限制时调整匹配网路。一种可提供固定匹配、且有效使RF电路不需调整匹配电路的方法为将该反射电源限制值设定在高于反射电源的任一预测值。此在某些情况下,可通过将匹配网路维持在其最相近的条件而帮助稳定等离子体。其他方法也有助于稳定等离子体,例如,RF控制电路可用以判定传送至负载(等离子体)的电源,且可增加或降低产生器输出电源,以于沉积薄层期间将传送电源大致维持在定值。
气体传送***33可经由气体传送线38(仅图示出部分),自数种用于处理基材的气体源34A-34F处理室提供气体。如熟习此项技术人士可了解者,实际用于来源34A-34F的气体源及传送线38与处理室13的实际连接是取决于沉积及处理室13内进行的清洁工艺而改变。气体是经由气体环37及/或上喷嘴45引入处理室13。图2是显示处理室13的气体环37额外细节的简略、部分截面图。
于一实施例中,第一及第二气体源34A及34B以及第一及第二气体流控制器35A’与35B’,可经由气体传送线38(仅图示出一部份)提供气体至气体环37中的环空间(plenum)36。气体环37具有数个气体喷嘴39(仅图示一个以便说明),用以将均匀气体流提供至整个基材。喷嘴长度及喷嘴角度可改变,以修正供应予独立处理室内特定工艺一致的轮廓及气体均匀率。于一实施例中,气体环37具有24个气体喷嘴39,其是由氧化铝陶瓷制成。
气体环37也具有数个气体喷嘴40(仅图示出一个),其于一较佳实施例中与来源气体喷嘴39共平面及具相同长度,且于一实施例中是用以接收来自本体空间41的气体。气体喷嘴39及40于某些实施例中并未流通地耦接,因该些实施例中希望在将气体注入处理室13前不混合气体。于其他实施例中,可通过在本体空间41以及气体环空间36间提供数个开口(未示出),以使气体可在注入处理室13之前先予混合。于一实施例中,第三及第四气体来源34C及34D以及第三及第四气体流控制器35C及35D’,可经由气体传送线38提供气体至本体空间。额外阀门例如43B(其他阀门未示出)可阻挡由流控制器至处理室的气体。
于该些使用易燃、具毒性或腐蚀性气体的实施例中,可能会希望沉积后排除残余在气体传送线中的气体。此时可使用三向式阀门(3-way valve)例如阀门43B,以将处理室13与传送线38A分隔,并将传送线38A排导向,例如真空前管线(foreline)44.如图1所示,其他类似阀门诸如43A及43C亦可连接其他气体传送线.此种三向式阀门实际操作上可设在处理室13邻近处,以使未排放气体传送线(位于三向式阀门及处理室之间)的体积最小化.此外,双向(开-关)阀门(未示出)可设于一质流控制器(MFC)及处理室之间、或介于气体源及MFC之间.
再次参照图1,处理室13也具有上喷嘴45及上排出口46。上喷嘴45及上排出口46可独立控制上方及侧面气体流,以改善薄膜均匀度并良好的调整薄膜的沉积及掺杂参数。上排出口46为一绕上喷嘴45的环形开口。于一实施例中,第一气体源34A可补充来源气体喷嘴39及上喷嘴45。来源喷嘴MFC 35A’可控制气体传送至来源气体喷嘴39的量,而上喷嘴MFC 35A可控制气体传送至上气体喷嘴45的量。同样的,MFCs 35B及35B’两者可用于控制从单一氧气源(例如来源34B)至上排出口46及氧化剂气体喷嘴40的氧气流动。供应至上喷嘴45及上排出口46的气体于流进处理室13之前可维持独立,或气体可在流入处理室13之前在上空间48中混合。独立来源的相同气体可用于提供处理室的不同部分。
于图1及图2所示的实施例中,远端微波产生电将清洁***50可周期性的清洁来自处理室元件的沉积残余物。该清洁***包括远端微波产生器51,其可由一清洁气体源34E(例如,氟分子、三氟化氮、其他氟碳化物或均等物)在反应器空腔53中形成等离子体。由此等离子体形成的反应性物种可经由施加管55而通过清洁气体馈送端口54传送至处理室13。用于容纳清洁等离子体(如空腔53及施加管55)的材料必须能抵抗等离子体的冲击。反应器空腔53及馈送端口54间的距离操作上应维持够短,因为所欲的等离子体物种会随着距反应器空腔53的距离而衰减。于远端空腔中形成清洁等离子体可更有效利用微波产生器,且不会使处理室元件受到温度、辐射或原位形成的等离子体中可能存在的辉光放电轰击。因此,敏感性元件,例如静电吸盘20不需要以消耗晶圆(dummy wafer)覆盖、或原位等离子体清洁工艺所需的保护。
图3图示依据本发明的一实施例的气体环300。该气体环300包括一外表面或***302以及一内表面或周围304。气体入口306设在气体环300的外表面302处,且可流通弟与第一通道或空间308耦接。该第一通道308设在气体环300的外表面302及内表面304之间。第二通道或空间310设在气体环300的外表面302及内表面304之间,且经由数个孔洞或开口而可流通地与第一通道308耦接。如图3所示,第一通道为外通道308,第二通道为内通道,第二通道社在外通道308及气体环300的内表面304之间。然而于另一实施例中,该第一及第二通道308、310可做不同配置。例如,两通道可横向地沿气体环300的轴彼此间隔,且具有相同圆周。
图3是图示六个孔洞312,其大致沿第一通道308或第二通道310的圆周均匀间隔。数个第一气体出口316是分布在气体环300的内表面304,且与该第二通道310流通地相耦接。图3是图示出第一气体出口316,其有12个第二气体出口318且与该第二通道310流体相隔,其并经配置以将气体由其他气体源导入工艺处理室。例如,该第一气体出口316可用于引导硅源气体例如硅烷,而第二气体出口318则可用于引导其他反应气体,例如氧气。
于所示的特定实施例中,第二通道310绕气体环300的内表面304延伸360°,而第一通道308部分环绕气体环300的内表面304延伸小于360°,而具有两个彼此相间隔的第一通道端320.孔洞312设在靠近两第一通道端320的每一者处,两第一通道端则在包括六个均匀间隔的孔洞312的气体环300中彼此以约60°的角度相间隔,如图3所示.气体入口306是在接近两第一通道端320间约中间处(沿着第一通道308的距离作测量)与第一通道308耦接.一般而言,数个孔洞312是包括大于2的偶数孔洞312.数个孔洞312的设置是相对于通过气体入口306的线324以及第一通道308周围的中心而呈大致对称.此等孔洞312未有任何一者位于通过气体入口306及第一通道308周围的中心的线上.当然,于替代实施例中,孔洞312的数目及间隔均可改变.
数个孔洞312是间隔气体入口306若干距离。孔洞312有不同尺寸,以于气体初始引入气体环300时的瞬时期间经由气体出口316提供大致均匀的气体分配。一般而言,孔洞312的尺寸可随与气体入口的距离(当沿第一通道308测量时)作变化,以使孔洞312尺寸随孔洞312及气体入口306间的距离(当沿第一通道308测量时)的增加而增加。
图4是表示靠近第一通道端320的一者时的放大图。制造孔洞312的一种方法为钻一通过气体环300部分的通孔,从外表面302通过第一通道308而进入第二通道310。外表面302及第一通道308间的通孔可以一插塞330闭合。
第5及图6是显示利用习知气体环500、以及依据本发明一例示性实施例的气体环300在基材上沉积衬垫层或内衬层的实验结果。于图5中,习知气体环500包括一可流通地耦接至出口通道504的气体入口502,其是通过两设置呈180°分隔的孔洞而可流通地耦接至内通道506。有24个第一气体出口510可流通地耦接至内通道506,而有12个第二气体出口512可流通地耦接至另一气体源。孔洞508直径约0.188英寸。气体环300具有六个孔洞312,包括两个距气体入口306约30°的孔洞、两个距气体入口306约90°的孔洞312b以及两个距气体入口306约150°的孔洞。最接近的孔洞312a直径约0.093英寸,中间孔洞312b直径约0.125英寸,而最远的孔洞312c直径约0.221英寸。
所设置的衬垫为利用HDP-CVD***10的等离子体增强化学气相沉积形成的硅氧化物衬垫。工艺气体包括经由第一气体出口316或510引入的硅烷,以及经由第二气体出口318或512引入的氧气。所施加的能量包括约1500瓦的上线圈29以及约5000瓦的侧线圈30。操作温度约为450℃而操作压力约6毫托耳。基材520及620直径为300mm。沉积时间约3秒钟。
利用习知气体环500形成在基材520上的衬垫层厚度约241.8埃,变化值在8.10%。如图5所示,两侧靠近两孔洞508的衬垫较厚。利用本发明的气体环300形成在基材620上的衬垫则厚度约216.5埃,变化值在3.62%,显然有4.48%的改善。厚度差异则降低至少一半。如图6所示,衬垫厚度是相对于基材620中心较为对称。图6中衬垫的对称值为2.66(埃/埃),而图5则为4.2。本发明对不同孔洞尺寸进行多次测试,并发现衬垫层的均匀性可通过增加孔洞312的尺寸(使的沿第一通道308测量时孔洞312与气体入口306间的距离增加)而改善。测试结果更说明在瞬时期间后,利用具不同孔洞312尺寸的气体环300在较稳定状态条件下,可使随后沉积持续形成大致均匀的薄层。
应可理解的是,前述说明目的在于说明而非限制.许多实施例在参照前述说明后将更可为熟习此项技术人士所了解.例如,本发明可涵盖其他类型的处理室以及其他处理基材的工艺.不同数目、尺寸及配置的孔洞312均可变动以适用于特定情况.因此,本发明范围应参照权利要求以及其均等物的完全范围而定.

Claims (20)

1.一种用于向处理室提供气体流的气体分配***,其至少包含:
一气体环,包括一外表面及一内表面;
一气体入口,设在该气体环的外表面处,该气体入口可流通地与一第一通道耦接,该第一通道设在该气体环的外表面及内表面之间;
数个气体出口,分配在该气体环的内表面,该数个气体出口可流通地与一第二通道耦接,该第二通道设在该气体环的外表面及内表面之间;以及
数个孔洞,从该第一通道延伸到该第二通道以在该气体环内可流通地将该第一通道耦接到该第二通道,该第一通道仅通过该第二通道可流通地耦接到该处理室,该数个孔洞与该气体入口相隔若干距离,所述孔洞的尺寸随着沿该第一通道测量的孔洞到气体入口的距离而改变,以使孔洞尺寸随着沿该第一通道测量的孔洞及气体入口间的距离的增加而增加。
2.如权利要求1所述的气体分配***,其中该数个孔洞沿着该第一通道的周围均匀间隔。
3.如权利要求1所述的气体分配***,其中该第二通道绕该气体环的内表面延伸360°。
4.如权利要求3所述的气体分配***,其中该第一通道部分环绕气体环的内表面延伸小于360°,而具有两个彼此相间隔的第一通道端。
5.如权利要求4所述的气体分配***,其中该气体入口是在两第一通道端之间沿着第一通道的距离测量中间处与该第一通道耦接,且其中数个孔洞包括数目大于2的偶数孔洞。
6.如权利要求5所述的气体分配***,其中该数个孔洞的设置是相对于通过气体入口以及该第一通道周围的一中心的线而呈对称。
7.如权利要求6所述的气体分配***,其中六个孔洞沿着该第一通道的周围分配。
8.一种用于一通过流动一工艺气体至一处理室并于该处理室中进行工艺气体反应的方式处理一半导体基材的设备中的气体分配***,以将工艺气体流流入处理室,其中该气体分配***至少包括:
一气体环,包括一外周围及一内周围;
一气体入口,设在该气体环的外周围处,该气体入口可流通地与一第一通道耦接,该第一通道设在该气体环的外周围及内周围之间;以及
数个气体出口,分配在该气体环的内周围,该数个气体出口是可流通地与一设在该气体环外周围及内周围间的第二通道耦接;
其中该第一通道可流通地与经由数个位于不同位置的开口和第二通道耦接,该开口在该气体环内从该第一通道延伸到该第二通道,该第一通道仅通过该第二通道可流通地耦接到该处理室,该多个开口距离气体入口若干距离,所述开口具有不同尺寸,以于气体最初引入气体环时的瞬时期间经由所述气体出口提供均匀的气体分配。
9.如权利要求8所述的气体分配***,其中所述开口的尺寸随着沿该第一通道测量的开口到气体入口的距离而改变,以使该开口的尺寸随着沿该第一通道测量的该开口及该气体入口间的距离的增加而增加。
10.如权利要求8所述的气体分配***,其中该数个开口相对于一通过该气体入口及该第一通道周围的一中心的线而呈对称.
11.如权利要求8所述的气体分配***,其中该数个开口包括数目大于2的偶数开口,且其中未有任何开口位于通过气体入口及第一通道周围的中心的线上。
12.如权利要求8所述的气体分配***,其中该数个开口沿着第一通道周围而均匀间隔。
13.一种将一气体流入一处理室以处理一基材的方法,该方法至少包含下列步骤:
提供一气体环,包括一外表面及一内表面;一第一通道,设在该外表面及该内表面之间;以及一第二通道,设在该外表面及该内表面之间,该第一通道经由数个孔洞而可流通地与该第二通道耦接且该第一通道仅通过该第二通道可流通地与该处理室耦接;以及
将一气体经由一设在该气体环外表面的气体入口引入该气体环,该流经气体入口进入第一通道的气体通过数个孔洞进入第二通道,并通过数个与该第二通道可流通耦接的气体出口流进处理室;
其中该数个孔洞与该气体入口相隔若干距离,其中所述孔洞具有不同尺寸以于气体最初引入气体环时的瞬时期间经由所述气体出口提供均匀的气体分配至该处理室。
14.如权利要求13所述的方法,其中所述孔洞的尺寸随着沿该第一通道测量的孔洞到气体入口的距离而变化,以使孔洞的尺寸随着沿该第一通道测量的孔洞及气体入口间的距离的增加而增加。
15.如权利要求13所述的方法,其中该数个孔洞的设置是相对于通过该气体入口及该第一通道周围的一中心的线而呈对称。
16.如权利要求13所述的方法,其中该数个孔洞包括数目大于2的偶数孔洞,且其中未有任何孔洞位于通过该气体入口及该第一通道周围的中心的线上。
17.如权利要求13所述的方法,其中该数个孔洞沿着该第一通道周围均匀间隔。
18.如权利要求13所述的方法,其中该气体包括一硅源气体。
19.如权利要求13所述的方法,其中该气体在该处理室内反应,以在基材上形成一衬垫层。
20.如权利要求13所述的方法,其中该气体通过施加一等离子体于该处理室中的方式在该处理室内进行反应。
CN2005800408151A 2004-11-29 2005-11-22 用于改良瞬时相沉积的气体分配*** Expired - Fee Related CN101065513B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63171404P 2004-11-29 2004-11-29
US60/631,714 2004-11-29
US11/123,453 US7722737B2 (en) 2004-11-29 2005-05-04 Gas distribution system for improved transient phase deposition
US11/123,453 2005-05-04
PCT/US2005/042790 WO2006058240A1 (en) 2004-11-29 2005-11-22 Gas distribution system for improved transient vapor phase deposition

Publications (2)

Publication Number Publication Date
CN101065513A CN101065513A (zh) 2007-10-31
CN101065513B true CN101065513B (zh) 2010-05-12

Family

ID=36097165

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800408151A Expired - Fee Related CN101065513B (zh) 2004-11-29 2005-11-22 用于改良瞬时相沉积的气体分配***

Country Status (6)

Country Link
US (2) US7722737B2 (zh)
JP (1) JP4881873B2 (zh)
KR (1) KR100927495B1 (zh)
CN (1) CN101065513B (zh)
TW (1) TWI342899B (zh)
WO (1) WO2006058240A1 (zh)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7989366B2 (en) * 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101389007B1 (ko) * 2012-11-27 2014-04-24 에이피시스템 주식회사 건식 식각 장치 및 이를 이용한 건식 식각 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102176189B1 (ko) * 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
CN104752274B (zh) 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
KR102581681B1 (ko) 2018-09-05 2023-09-22 삼성전자주식회사 플라즈마 증착 방법 및 플라즈마 증착 장치
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7497354B2 (ja) 2018-12-07 2024-06-10 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
CN1536612A (zh) * 2003-04-09 2004-10-13 三星电子株式会社 供气装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2846324B2 (ja) * 1988-09-30 1999-01-13 廣光 奥村 不釣合修正方法、その方法に用いる不釣合修正装置、その方法に用いる駆動刃、および軸挿入孔の穿設方法。
JP2667364B2 (ja) * 1993-08-16 1997-10-27 キヤノン販売株式会社 成膜装置
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
JPH11195611A (ja) * 1997-12-26 1999-07-21 Canon Inc 反応装置及び半導体部材の製造方法
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3618246B2 (ja) * 1999-03-15 2005-02-09 松下電器産業株式会社 半導体装置の製造方法
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6896737B1 (en) 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6458722B1 (en) 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6894296B2 (en) * 2002-07-30 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd Multi-inlet PFS arc chamber for hi-current implanter
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR20040046571A (ko) * 2002-11-27 2004-06-05 주식회사 피앤아이 이온빔을 이용한 재료의 표면 처리 장치
US7141145B2 (en) 2003-10-02 2006-11-28 Seagate Technology Llc Gas injection for uniform composition reactively sputter-deposited thin films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
CN1536612A (zh) * 2003-04-09 2004-10-13 三星电子株式会社 供气装置

Also Published As

Publication number Publication date
JP4881873B2 (ja) 2012-02-22
TW200624591A (en) 2006-07-16
CN101065513A (zh) 2007-10-31
US20060113038A1 (en) 2006-06-01
KR20070086758A (ko) 2007-08-27
WO2006058240A1 (en) 2006-06-01
US7722737B2 (en) 2010-05-25
TWI342899B (en) 2011-06-01
JP2008522416A (ja) 2008-06-26
US20080041821A1 (en) 2008-02-21
KR100927495B1 (ko) 2009-11-19

Similar Documents

Publication Publication Date Title
CN101065513B (zh) 用于改良瞬时相沉积的气体分配***
JP4590071B2 (ja) 基板表面上に誘電体層を形成するための方法及び装置
US8450191B2 (en) Polysilicon films by HDP-CVD
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
CN101304630B (zh) 用于电感耦合高密度等离子体处理室的内部平衡线圈
US6660662B2 (en) Method of reducing plasma charge damage for plasma processes
CN101278380B (zh) 利用沉积刻蚀序列的间隙填充处理
US7481886B2 (en) Plasma process system and plasma process method
US6591850B2 (en) Method and apparatus for fluid flow control
US20140186544A1 (en) Metal processing using high density plasma
CN100483646C (zh) 用于改进间隙填充应用的高产能hdp-cvd处理
US20070181531A1 (en) Plasma processing apparatus and plasma processing method
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
US20040126952A1 (en) Gas delivery system for semiconductor processing
US6458722B1 (en) Controlled method of silicon-rich oxide deposition using HDP-CVD

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100512

Termination date: 20131122