CN100570889C - In body silicon and SOI MOS device, make the structure and the method for dislocation-free stressed channels - Google Patents

In body silicon and SOI MOS device, make the structure and the method for dislocation-free stressed channels Download PDF

Info

Publication number
CN100570889C
CN100570889C CNB2005100553049A CN200510055304A CN100570889C CN 100570889 C CN100570889 C CN 100570889C CN B2005100553049 A CNB2005100553049 A CN B2005100553049A CN 200510055304 A CN200510055304 A CN 200510055304A CN 100570889 C CN100570889 C CN 100570889C
Authority
CN
China
Prior art keywords
pfet
nitride layer
silicon
photoresist
thin nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100553049A
Other languages
Chinese (zh)
Other versions
CN1691350A (en
Inventor
朱慧珑
B·B·多里斯
陈华杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1691350A publication Critical patent/CN1691350A/en
Application granted granted Critical
Publication of CN100570889C publication Critical patent/CN100570889C/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The invention provides structure and the method for in body silicon and SOI (silicon-on-insulator) CMOS (complementary metal oxide semiconductors (CMOS)) device, making the dislocation-free stressed channels by the gate stress that utilizes SiGe and/or Si:C.The MOS device comprises the stacked gate structure of substrate, the gate dielectric layer on the substrate and SiGe and/or the Si:C of body silicon or SOI, and this stacked gate structure has the stress that produces at the interface of SSi (strain Si)/SiGe in the stacked gate structure or SSi/Si:C.The stacked gate structure has the second stress rete and the semiconductor on the second stress rete or conductor such as p (the polycrystalline)-Si of the first stress rete, the strain SiGe on the first stress rete or strain Si:C at big crystallite dimension Si on the gate dielectric layer or SiGe.

Description

In body silicon and SOI MOS device, make the structure and the method for dislocation-free stressed channels
Technical field
Present invention relates in general in body silicon and SOI (silicon-on-insulator) MOS (metal-oxide semiconductor (MOS)) device, make the structure and the method for dislocation-free stressed channels by the gate stress that utilizes SiGe and/or Si:C.
Background technology
Dislocation is the defective in the crystal structure, and the current path of leakage current may be provided in body silicon with this dislocation and SOI cmos device unfriendly.
Summary of the invention
The invention provides structure and the method for in body silicon and SOI MOS (metal-oxide semiconductor (MOS)) device, making the dislocation-free stressed channels by the gate stress that utilizes SiGe and/or Si:C.The MOS device comprises the stacked gate structure of substrate, the gate dielectric layer on the substrate and SiGe and/or the Si:C of body silicon or SOI, and this stacked gate structure has the stress that produces at the interface of SSi (strain Si)/SiGe in the stacked gate structure or SSi/Si:C.This stacked gate structure has the second stress rete and the semiconductor on the second stress rete or conductor such as p (polycrystalline)-Si or the silicide of the first stress rete, the strain Si on the first stress rete or strain SiGe or strain Si:C at big crystallite dimension Si on the gate dielectric layer or SiGe.
This specification is discussed stress and strain at this, should be realized that stress and strain is correlated with, and stress and strain is directly proportional, and equals the strain multiplication by constants.And strong strain usually produces dislocation in crystal structure.This specification also relates to tensile stress and compression stress at this, and wherein tensile stress refers to that the stress that applies in the nFET raceway groove, compression stress refer to the stress that applies in the pFET raceway groove.
The present invention:
Avoided the dislocation that in the raceway groove of body silicon and SOI (silicon-on-insulator) MOS (metal-oxide semiconductor (MOS)) device, produces;
Apply dissimilar stress respectively to nFET and pFET device;
Etching and the cleaning method of the SiGe that may cause narrow electric wire fracture have been overcome;
By being used for the SiGe stress application of ultra-thin SOI device;
Overcome dislocation generation increase under higher temperature.This is because SD RTA (source region, drain region, rapid thermal annealing) has limited in lower temperature (for example 550 ℃) use of the thick inferior stabilized zone of the strain Si (SSi) of growth down;
Having overcome with high Ge%SSi/SiGe requires SSi extremely thin to reduce the relevant problem of demand that dislocation produces.But if strain Si too thin (for example 5nm, corresponding to the critical thickness of 35%Ge), the interface of SSi/SiGe may reduce mobility so.
The present invention:
Structure and the method for making response body silicon and SOI cmos device by the stress grid technology of utilizing SiGe and Si:C stacked gate are provided;
Because SSi/SiGe in the grid or the interface of SSi/Si:C allow to use big Ge% and SiGe thickness, to produce big stress;
Because as the technology of the replacement part of grid pole of carrying out afterwards in high-temperature process (for example SD RTA) (replacement of the part polysilicon in the finger grid is as following disclosed and discuss), more stable SSi/SiGe and the stress film of SSi/Si:C are provided;
By adjusting Ge% among SiGe or the Si:C or C% or coming stress in the control device raceway groove by changing film thickness;
Can directly use body silicon and SOI technology, and not change the conventional diffusion technology in FEOL (FEOL) technology.
Description of drawings
In conjunction with the drawings, detailed description with reference to following embodiment, those skilled in the art can more easily understand by the gate stress that utilizes SiGe and/or Si:C make the structure of dislocation-free stressed channels and the above-mentioned purpose of the present invention and the advantage of method in body silicon and SOICMOS device, wherein in whole accompanying drawing, use identical label to represent identical part, and wherein:
Fig. 1 to 8 shows the manufacture method step of the first embodiment of the present invention;
Fig. 1 shows step 1 and 2 and finishes structure afterwards, and step 1 and 2 uses common process to form gate oxide on silicon substrate, deposition of amorphous silicon or polysilicon, and the polysilicon of annealing and having big crystallite dimension to obtain;
Fig. 2 shows the structure after the step 3, and step 3 comprises the oxide on oxidation and the etching macromeritic polysilicon layer, up to reaching~10nm thickness;
Fig. 3 shows the structure after the step 4, and step 4 comprises that deposit p (polycrystalline)-SiGe is to form stacked gate;
Fig. 4 shows the structure after the step 5, and step 5 comprises and is used for replacing grid so that device has the p-SiGe of grid and around the conventional method of the separator of grid;
Fig. 5 shows the structure after the step 6, and step 6 comprises deposited oxide, then carries out CMP (chemico-mechanical polishing), on top portions of gates, stop, and the deposit thin nitride layer;
Fig. 6 shows the structure after the step 7, and step 7 comprises with photoresist covering and composition pFET, nitride etching, and etching p-SiGe grid is used for nFET selectively;
Fig. 7 shows the structure after the step 8, and step 8 comprises removes photoresist, selectivity epi strain c-SiGe, recharges polysilicon in nFETs, and carries out CMP, stops on the oxide;
Fig. 8 shows the structure after the step 9, and step 9 comprises deposit thin nitride layer and photoresist, and common repeating step 6 and 7, but covers nFET this moment and handle pFET;
Fig. 9 shows body silicon or the SOICMOS device of finishing by the gate stress that uses SiGe and/or Si:C;
Figure 10 shows the second embodiment of the present invention, comprises the method step that is similar to first embodiment, but is to use the big crystallite dimension p-Si of relaxation 1-xGe x100 replace p-Si as first grid layer or inculating crystal layer, with growth stress film in grid;
Figure 11 shows the third embodiment of the present invention, comprises the method that is similar to second embodiment, but the inculating crystal layer that wherein is used for nFETs and pFETs has different Ge content, for example is used for the p-Si of nFET 1-xnGe Xn110 and be used for the p-Si of pFET 1-xpGe Xp111;
Figure 12 shows the fourth embodiment of the present invention, uses diverse ways by formed stacked gate before the grid composition, forms stressor layers in grid, to obtain the structure identical with the 3rd embodiment with first, second;
Figure 13 shows the fifth embodiment of the present invention, uses the bonding with two monocrystalline silicon layers to handle wafer, and two monocrystalline silicon layers have bonding oxide/silicon interface and thermal oxide/silicon interface separately;
Figure 14 shows the sixth embodiment of the present invention, uses the other method manufacturing to have the structure of two single crystalline layers shown in the 5th embodiment.This method is used the monocrystalline regrowth from the a-Si layer, starts near the seed crystal of grid;
The conventional wafer of step 1 from monocrystalline silicon (c-Si) substrate 140 then carries out conventional method, on silicon substrate, to make gate oxide level 142, and the thin layer of deposit a-Si 144 (for example ,~25nm thickness) then, as shown in figure 14;
Figure 15 shows the structure after the step 2, and step 2 comprises deposit and composition photoresist, etching a-Si, and etching grid oxide;
Figure 16 shows the structure after the step 3, step 3 comprise remove photoresist and deposit a-Si (~25nm);
Figure 17 shows the structure after the step 4, and step 4 comprises the composition photoresist, so that it still covers nFET district and pFET district, and etching a-Si is up to gate oxide, so that isolate nFET district and the pFET district that is used for the crystalline silicon regrowth;
Figure 18 shows the structure after the step 5, and step 5 comprises annealing with crystallization a-Si layer again, thereby forms single crystalline Si.
Embodiment
Fig. 1 to 8 shows the manufacture method step of the first embodiment of the present invention.
Fig. 1 shows the structure after completing steps 1 and 2.Step 1 is used conventional method to go up at the Si of wafer substrate 10 (replaceability embodiment can adopt the SOI technology) and is formed gate oxide 12, step 2 comprises deposit a-Si (amorphous silicon) or polysilicon, and annealing a-Si or polysilicon, to obtain polysilicon 14 with big crystallite dimension.If crystallite dimension is near 200nm, as shown in Figure 1, so for the gated device (being shown Lpo1y=50nm) of 50nm, grid laterally in have 75% probability can not see grain boundary 16, as shown in Figure 1.The grain boundary helps to eliminate the stress in the material.
Fig. 2 shows the structure after the step 3, and step 3 comprises the oxide on oxidation and the etching macromeritic polysilicon layer, up to reaching~10nm thickness.
Fig. 3 shows the structure after the step 4, and step 4 comprises deposit p (polycrystalline)-SiGe, to form stacked gate 40.
Fig. 4 shows the structure after the step 5, and step 5 comprises and is used for replacing grid so that device has the p-SiGe40 of grid and around the conventional method of the separator 42 of grid.Notice that all dopants are injected into and anneal, becoming active area, and activate for dopant and not need further diffusion.
Fig. 5 shows the structure after the step 6, step 6 comprises deposited oxide 50, then carries out CMP (chemico-mechanical polishing), stops on top portions of gates, and deposit thin nitride layer 52, to prevent when separate processes nFET and the pFET epi (epitaxial crystal growth) on top portions of gates.
Fig. 6 shows the structure after the step 7, and step 7 comprises with photoresist 64 and covering and composition pFET, nitride etching 52, and the p-SiGe40 grid that is etched in 66 places selectively is used for nFET62.The purpose that covers pFET is the stress that produces different stage or type in nFET device and pFET device respectively.
Fig. 7 shows the structure after the step 8, step 8 comprises removes photoresist 64, selectivity epi (epitaxial crystal growth) strain c-SiGe (monocrystalline) 70 (<critical thickness also may need on the spot grid to be mixed), in nFET 62, recharge polysilicon at 72 places, carry out CMP, on oxide 50, stop, and may be in nFET 62 etch-back a little.
Fig. 8 shows the structure after the step 9, and step 9 comprises deposit thin nitride layer 80 and photoresist 82, and common repeating step 6 and 7, but covers nFET 62 and handle pFET 64 this moment; Use strain Si:C 84 to replace SiGe to be used for pFET, recharge polysilicon then at 86 places and carry out CMP, 50 places stop at oxide.Fig. 8 shows grain boundary 16 and enters strain Si:C continuously.Fig. 8 shows the stacked gate structure that first embodiment finishes, and after this step, the use conventional method is formed for the silicide of grid and finishes back-end process (BEOL) work.
Another selection scheme comprises covering nFET district and carbon is injected the pFET grid, and annealing under 700 ℃-850 ℃, to produce tensile stress in the injection region in the pFET grid.
Fig. 9 shows stacked gate by using SiGe and/or Si:C to produce the gate stress of stress, response body silicon of finishing or SOIMOS device by the interface of SSi/SiGe in the stacked gate structure or SSi/Si:C.Fig. 9 shows the device that can make on the substrate of body semiconductor (Si) 10 or semiconductor-on-insulator (SOI) 90, this device comprises the gate dielectric layer on the substrate top, and stacked gate structure and around the buffer layer 42 of stacked gate structure, the stacked gate structure has monocrystalline on gate dielectric layer 12 or first semiconductor or the conductor stress rete 14 of big crystallite dimension Si or SiGe, strain c-SiGe on the first stress rete or second semiconductor of strain Si:C or conductor stress rete 70 or 84, and the semiconductor on the second stress rete or electrically conductive film 72 or 86 are as p-Si.Can in different embodiment, produce stress/strain in the grid by different materials or the different weight percentage by material.
Figure 10 shows the second embodiment of the present invention, comprises the method step that is similar to first embodiment, but is to use the big crystallite dimension p-Si of relaxation 1-xGe x100 replace p-Si as the first grid layer, and this first grid layer is used as inculating crystal layer with growth stress film in grid.This ply strain after the selective epitaxial growth step.The percentage that can change material in different embodiment is to obtain different stress.In the step 4 of first embodiment, replace the p-SiGe deposit with the p-Si deposit.Similarly, in the step 7 and 8 of first embodiment, the selective etch step of p-SiGe becomes the selective etch of p-Si.In the case, the Si that in the grid of nFET 102, grows 1-yGe y(y>x) 106, and the Si that grows in the grid of pFET 104 1-zGe z(z<x) 108.Therefore, this method produces compression stress and produce tensile stress in the nFET raceway groove in the pFET raceway groove.For pFET, this method also can use Si:C to replace Si 1-zGe z(z<x) has better thermal stability although have than SiGe with Si:C.The value of x also can be used to adjust the Vt (threshold voltage) of pFET.Usually, this requires to reduce haloing and mixes in the pFET raceway groove, and this can further improve the performance of pFET.Figure 10 shows and carries out all method steps final resulting structures afterwards.Si 1-xGe xThe 100th, with the inculating crystal layer of thereon part of grid pole, and after selective epitaxial growth this ply strain.
Figure 11 shows the third embodiment of the present invention, comprises the second embodiment method that is similar to, but the inculating crystal layer that wherein is used for nFET112 and pFET114 has different Ge content, for example is used for the p-Si of nFET112 1-xnGe Xn110 and be used for the p-Si of pFET114 1-xpGe Xp111.This method can be used the conventional method that covers pFET and nFET district respectively.In the case, the Si that in the grid of nFET, grows 1-yGe y(y>xn) 116, and the Si that grows in the grid of pFET 1-zGe z(z<xp) 118.Therefore, this method obtains the pFET raceway groove of compression and the nFET raceway groove of stretching.For pFET, this method also can use Si:C to replace Si 1-zGe z(z<x) 118, have better thermal stability although compare SiGe with Si:C.The value of x also can be used for adjusting the Vt of pFET.Usually, this requires to reduce haloing and mixes in the pFET raceway groove, and this can further improve the performance of pFET.Figure 10 shows final resulting structures.After selective epitaxial growth, be used for the Si of the part of grid pole on this inculating crystal layer 1-xnGe Xn110 inculating crystal layers and this inculating crystal layer strain.The Si that after selective epitaxial growth, is used for the part of grid pole on this inculating crystal layer 1-xpGe Xp111 inculating crystal layers and this inculating crystal layer strain.
Figure 12 shows the fourth embodiment of the present invention, use diverse ways can more easily in grid, form stressor layers by before the grid composition, forming stacked gate 120, as shown in figure 12, to obtain the structure identical with the 3rd embodiment with first, second.Although simulation shows that their frame mode is identical, the stress that produces by first, second and the 3rd embodiment method is greater than by 30% of the 4th embodiment generation.Strain SiGe or strain Si:C floor can have different stress ranks, different stress types and different Ge content respectively in nFET and pFET district.In nFET and pFET district, can have different stress ranks, different stress types and different Ge content as the big crystallite dimension p-Si 14 of the seed crystal that is used for epi SiGe or Si:C floor or p-SiGe 100.
Figure 13 shows the fifth embodiment of the present invention.The shortcoming of first to fourth embodiment is the crystal orientation difference in the crystal grain in the stacked gate.Owing in the grid of narrow width devices, have only a crystal grain, so this may cause the performance change of narrow width devices.For fear of this problem, method can be used the bonding with two monocrystalline silicon layers 132,134 to handle 130, two monocrystalline silicon layers of wafer to have separately bonding oxide/silicon interface 133 and thermal oxide/silicon interface 135, as shown in figure 12.This structure can be used for replacing the structure shown in the step 2 of first embodiment, and then all the other steps of first to fourth embodiment then are to make strained silicon.In order to utilize Smart-Cut (after bonding, to come cut crystal by adopting H to inject to damage monocrystalline silicon 132, the method of cutting/breaking then) along the injection that damages, this method can be before being bonded to processing wafer 130, deposit thin metal or silicide layer on gate oxide 131.Thin metal or silicide layer can be used for adjusting the threshold voltage vt of device, or acquisition is used for the thinner dielectric thickness of the gate oxide of given thickness.
Figure 14 shows the sixth embodiment of the present invention, uses the other method manufacturing to have the structure of two single crystalline layers shown in the 5th embodiment.This method is used the monocrystalline regrowth from the a-Si layer, starts near the seed crystal of grid.
The conventional wafer of step 1 from the monocrystalline c-Si substrate 140 then carries out conventional method, on the Si substrate, to make gate oxide level 142, and the thin layer of deposit a-Si 144 (for example ,~25nm thickness) then, as shown in figure 14.
Figure 15 shows the structure after the step 2, and step 2 comprises deposit and composition photoresist 150, at 152 places etching a-Si, and at 154 place's etching grid oxides.
Figure 16 shows the structure after the step 3, and step 3 comprises removes photoresist 150 and deposit a-Si (~25nm) 160, and show the seed crystal 162 that is used for the monocrystalline regrowth.
Figure 17 shows the structure after the step 4, step 4 comprises the composition photoresist, so that it still covers nFET district 172 and pFET district 174, and at 170 places etching a-Si up to gate oxide, so that isolate nFET district 172 and the pFET district 174 that is used for the crystalline silicon regrowth at 162 places, this 162 also is STI (shallow trench isolation from) district, so that the removal of gate oxide is no problem.
Figure 18 shows the structure after the step 5, and step 5 is included in 570 ℃ of annealing 10 hours down, with crystallization a-Si layer again, thereby forms single crystalline Si 180 people such as () Brian J.Greene.With this understanding, a-Si can regrowth as long as be~1 μ m in the horizontal, for high performance device, the overall width of device is usually less than 0.5 μ m.After this step, can use the method for describing among first to fourth embodiment to be manufactured on the device that has identical crystal orientation in their grid.The seed crystal position that is used for the regrowth of monocrystalline also is the position of STI, so that the removal of gate oxide is meticulous.
Make the structure of dislocation-free stressed channels and the several embodiments of the present invention and the variation of method although describe the gate stress that is used for by utilizing SiGe and/or Si:C in detail at body silicon and SOI MOS device at this, but to one skilled in the art, many selectivity designs open and the training centre hint of the present invention should be conspicuous.

Claims (26)

1. body silicon or silicon-on-insulator metal oxide semiconductor device have the gate stress that is produced by SiGe and/or Si:C, comprising:
The substrate of body silicon or silicon-on-insulator, and the gate dielectric layer on described substrate;
The stacked gate structure of SiGe and/or Si:C, wherein the structure by strain Si/SiGe in the described stacked gate structure or strain Si/Si:C produces stress, and described stacked gate structure has the first stress rete at big crystallite dimension Si on the described gate dielectric layer or SiGe, at the second stress rete and semiconductor or the conductor on the described second stress rete of strain SiGe on the described first stress rete or strain Si:C.
2. according to the device of claim 1, wherein in described stacked gate structure, produce stress by different semi-conducting materials and/or the different weight percentage by semi-conducting material.
3. according to the device of claim 1, on chip, make, and have in the raceway groove of wherein said nFET device in the raceway groove of tensile stress and pFET device and have compression stress with nFET device and pFET device.
4. according to the device of claim 3, the stacked gate structure of wherein said nFET device comprises the second stress rete of the strain SiGe on the first stress rete of monocrystalline silicon, and the stacked gate structure of described pFET device comprises the second stress rete of the strain Si:C on the first stress rete of monocrystalline silicon.
5. according to the device of claim 3, the stacked gate structure of wherein said nFET device comprises strain Si 1-xGe xThe first stress rete on strain Si 1-yGe yThe second stress rete, and the stacked gate structure of described pFET device comprises strain Si 1-xGe xThe first stress rete on strain Si 1-zGe zThe second stress rete, wherein y>x and z<x are to produce different stress.
6. according to the device of claim 5, the value of wherein selecting x is to adjust the threshold voltage vt of pFET.
7. according to the device of claim 5, wherein said Si 1-xGe xBe to be used for described Si 1-xGe xThe inculating crystal layer of the part of grid pole on the layer, and described Si 1-xGe xLayer strain after selective epitaxial growth.
8. according to the device of claim 3, the stacked gate structure of wherein said nFET device comprises strain Si 1-xnGe XnThe first stress rete on strain Si 1-yGe yThe second stress rete, and the stacked gate structure of described pFET device comprises strain Si 1-xpGe XpThe first stress rete on strain Si 1-zGe zThe second stress rete, wherein y>xn and z<xp are to produce stress.
9. device according to Claim 8, wherein said Si 1-xnGe XnBe to be used for described Si 1-xnGe XnOn the inculating crystal layer of part of grid pole, the strain after selective epitaxial growth of described inculating crystal layer, and described Si 1-xpGe XpBe to be used for described Si 1-xpGe XpOn the inculating crystal layer of part of grid pole, the strain after selective epitaxial growth of described inculating crystal layer.
10. according to the device of claim 3, the stacked gate structure of wherein said nFET device comprises strain Si 1-xGe xThe first stress rete on strain Si 1-yGe yThe second stress rete, and the stacked gate structure of described pFET device comprises strain Si 1-xGe xThe first stress rete on the second stress rete of strain Si:C, y>x wherein is to produce different stress.
11., in the integrated circuit that comprises nFET device with described stacked gate structure and pFET device, make according to the device of claim 1.
12., in the integrated circuit that comprises nFET device, make with described stacked gate structure according to the device of claim 1.
13., in the integrated circuit that comprises pFET device, make with described stacked gate structure according to the device of claim 1.
14. according to the device of claim 1, wherein said semiconductor or conductor on the described second stress rete comprises polysilicon.
15. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
Deposition of amorphous silicon or polysilicon on the gate oxide on body silicon or the silicon-on-insulator substrate, and the polysilicon of annealing and having big crystallite dimension to obtain;
Deposit polycrystal SiGe on described polysilicon with big crystallite dimension is to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover pFET with described photoresist and described thin nitride layer;
NFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polycrystal SiGe grid that is used for nFET form nFET, remove described photoresist, carry out the selective epitaxial growth of strain single crystalline Si Ge, in nFET, fill polysilicon and carry out chemico-mechanical polishing, on described oxide, stop;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover nFET and handle pFET this moment.
16., be included in described annealing steps oxidation and etching macromeritic polysilicon layer afterwards, with the thickness that obtains to select according to the method for claim 15.
17. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
Deposition of amorphous silicon or polysilicon on the gate oxide on body silicon or the silicon-on-insulator substrate, and the polysilicon of annealing and having big crystallite dimension to obtain;
Deposit polycrystal SiGe on described polysilicon with big crystallite dimension is to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover nFET with described photoresist and described thin nitride layer;
PFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polycrystal SiGe grid that is used for pFET form pFET, remove described photoresist, carry out the selective epitaxial growth of strain single crystalline Si Ge, in pFET, fill polysilicon and carry out chemico-mechanical polishing, on described oxide, stop;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover pFET and handle nFET this moment.
18., be included in described annealing steps oxidation and etching macromeritic polysilicon layer afterwards, with the thickness that obtains to select according to the method for claim 17.
19. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
The big crystal grain polycrystalline Si of deposit relaxation on the gate oxide on body silicon or the silicon-on-insulator substrate 1-xGe x, and anneal to obtain to have the polycrystalline Si of big crystallite dimension 1-xGe x
In described polycrystalline Si with big crystallite dimension 1-xGe xLast deposit polysilicon is to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover pFET with described photoresist and described thin nitride layer;
NFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polysilicon gate that is used for nFET form nFET, remove described photoresist, carry out the strain single crystalline Si 1-yGe ySelective epitaxial growth, y>x wherein fills polysilicon and carries out chemico-mechanical polishing in nFET, stop on described oxide;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover nFET and handle pFET this moment, growth Si 1-zGe z, z<x wherein.
20. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
The big crystal grain polycrystalline Si of deposit relaxation on the gate oxide on body silicon or the silicon-on-insulator substrate 1-xGe x, and anneal to obtain to have the polycrystalline Si of big crystallite dimension 1-xGe x
In described polycrystalline Si with big crystallite dimension 1-xGe xLast deposit polysilicon is to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover nFET with described photoresist and described thin nitride layer;
PFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polysilicon gate that is used for pFET form pFET, remove described photoresist, carry out the strain single crystalline Si 1-yGe ySelective epitaxial growth, y>x wherein fills polysilicon and carries out chemico-mechanical polishing in pFET, stop on described oxide;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover pFET and handle nFET this moment, growth Si 1-zGe z, z<x wherein.
21. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this device have the gate stress that is produced by SiGe and/or Si:C, this method may further comprise the steps:
Be formed on strain Si/SiGe or the SiGe that has stress at the interface of strain Si/Si:C and/or the laminated construction of Si:C in the laminated construction, wherein said laminated construction has the first stress rete at big crystallite dimension Si on the described gate dielectric layer or SiGe, at the second stress rete and semiconductor or the conductor on the described second stress rete of strain SiGe on the described first stress rete or strain Si:C; And
The described laminated construction of composition is to form the stacked gate structure of composition.
22. according to the device of claim 21, wherein said semiconductor or conductor on the described second stress rete comprises polysilicon.
23. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
Formation has the bonding of two monocrystalline silicon layers and handles wafer, and described two monocrystalline silicon layers have oxide/silicon interface separately;
Handle deposit polycrystal SiGe on the wafer at described bonding, to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover pFET with described photoresist and described thin nitride layer;
NFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polycrystal SiGe grid that is used for nFET form nFET, remove described photoresist, carry out the selective epitaxial growth of strain single crystalline Si Ge, in nFET, fill polysilicon and carry out chemico-mechanical polishing, on described oxide, stop;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover nFET and handle pFET this moment.
24. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
Formation has the bonding of two monocrystalline silicon layers and handles wafer, and described two monocrystalline silicon layers have oxide/silicon interface separately;
Handle deposit polycrystal SiGe on the wafer at described bonding, to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover nFET with described photoresist and described thin nitride layer;
PFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polycrystal SiGe grid that is used for pFET form pFET, remove described photoresist, carry out the selective epitaxial growth of strain single crystalline Si Ge, in pFET, fill polysilicon and carry out chemico-mechanical polishing, on described oxide, stop;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover pFET and handle nFET this moment.
25. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
On body silicon or silicon-on-insulator substrate, form gate oxide level, then the deposition of amorphous silicon layer;
Deposit and composition photoresist, the described amorphous silicon of etching, and the described gate oxide of etching;
Remove described photoresist and deposition of amorphous silicon;
Deposit and composition photoresist are covering the zone will form nFET and pFET, and the described amorphous silicon of etching is used for the zone of the nFET and the pFET of crystal recrystallization up to described gate oxide with isolation;
The described structure of annealing with the described amorphous silicon layer of recrystallization, thereby forms monocrystalline silicon;
Deposit polycrystal SiGe on described monocrystalline silicon is to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover nFET with described photoresist and described thin nitride layer;
PFET is carried out following processing: by the described thin nitride layer of etching and selectively the etching polycrystal SiGe grid that is used for pFET form pFET, remove described photoresist, carry out the selective epitaxial growth of strain single crystalline Si Ge, in pFET, fill polysilicon and carry out chemico-mechanical polishing, on described oxide, stop;
Deposit thin nitride layer and photoresist also repeat previous method step, but cover pFET and handle nFET this moment.
26. a method of making body silicon or silicon-on-insulator metal oxide semiconductor device, this method may further comprise the steps:
Deposition of amorphous silicon or polysilicon on the gate oxide on body silicon or the silicon-on-insulator substrate, and the polysilicon of annealing and having big crystallite dimension to obtain;
Deposit polycrystal SiGe on described polysilicon with big crystallite dimension is to form stacked gate;
Described stacked gate is carried out composition;
Deposited oxide then carries out chemico-mechanical polishing, on described top portions of gates, stops, and the deposit thin nitride layer;
Cover described thin nitride layer and described photoresist of composition and described thin nitride layer with photoresist, to cover nFET with described photoresist and described thin nitride layer;
Form pFET by the polycrystal SiGe that carbon is injected the pFET grid, and anneal to produce tensile stress in the injection region in the pFET grid.
CNB2005100553049A 2004-04-23 2005-03-15 In body silicon and SOI MOS device, make the structure and the method for dislocation-free stressed channels Active CN100570889C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/709,239 US7504693B2 (en) 2004-04-23 2004-04-23 Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US10/709,239 2004-04-23

Publications (2)

Publication Number Publication Date
CN1691350A CN1691350A (en) 2005-11-02
CN100570889C true CN100570889C (en) 2009-12-16

Family

ID=35135569

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100553049A Active CN100570889C (en) 2004-04-23 2005-03-15 In body silicon and SOI MOS device, make the structure and the method for dislocation-free stressed channels

Country Status (3)

Country Link
US (3) US7504693B2 (en)
CN (1) CN100570889C (en)
TW (2) TW200608590A (en)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US9159527B2 (en) * 2003-10-16 2015-10-13 Carl Zeiss Microscopy, Llc Systems and methods for a gas field ionization source
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US20070010070A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Fabrication of strained semiconductor-on-insulator (ssoi) structures by using strained insulating layers
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
DE602006019940D1 (en) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Production of a shallow conducting channel made of SiGe
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
JP2007329200A (en) * 2006-06-06 2007-12-20 Toshiba Corp Method of manufacturing semiconductor device
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
DE102006030264B4 (en) * 2006-06-30 2008-08-28 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating transistors having a biaxially-deformed channel caused by silicon germanium in the gate electrode
JP2008028357A (en) * 2006-07-24 2008-02-07 Hynix Semiconductor Inc Semiconductor device and method for manufacturing the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7960243B2 (en) * 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
JP2009016692A (en) * 2007-07-06 2009-01-22 Toshiba Corp Manufacturing method of semiconductor storage device, and semiconductor storage device
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
JP2009099724A (en) * 2007-10-16 2009-05-07 Toshiba Corp Semiconductor device
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US8293631B2 (en) 2008-03-13 2012-10-23 International Business Machines Corporation Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
KR20110036312A (en) * 2009-10-01 2011-04-07 삼성전자주식회사 Semiconductor device and method for manufacturing the same
CN102117808B (en) * 2009-12-31 2013-03-13 中国科学院微电子研究所 Field effect transistor device with improved carrier mobility and method of manufacture
US8598006B2 (en) 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
CN102569383A (en) * 2010-12-14 2012-07-11 中国科学院微电子研究所 MOS (Metal oxide semiconductor) tube and manufacturing method thereof
CN103489765B (en) * 2012-06-11 2016-06-22 中芯国际集成电路制造(上海)有限公司 The manufacture method of NMOS metal gate electrode
US8835292B2 (en) 2012-10-31 2014-09-16 International Business Machines Corporation Method of manufacturing semiconductor devices including replacement metal gate process incorporating a conductive dummy gate layer
US9087724B2 (en) 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US9391077B2 (en) 2014-02-10 2016-07-12 International Business Machines Corporation SiGe and Si FinFET structures and methods for making the same
US9536900B2 (en) * 2014-05-22 2017-01-03 Globalfoundries Inc. Forming fins of different semiconductor materials on the same substrate
US9196730B1 (en) * 2014-06-20 2015-11-24 Taiwan Seminconductor Manufacturing Company Limited Variable channel strain of nanowire transistors to improve drive current
US10032912B2 (en) 2014-12-31 2018-07-24 Stmicroelectronics, Inc. Semiconductor integrated structure having an epitaxial SiGe layer extending from silicon-containing regions formed between segments of oxide regions
US9455274B2 (en) 2015-01-30 2016-09-27 International Business Machines Corporation Replacement fin process in SSOI wafer
US9508741B2 (en) 2015-02-10 2016-11-29 International Business Machines Corporation CMOS structure on SSOI wafer
US9401372B1 (en) 2015-02-10 2016-07-26 International Business Machines Corporation Dual isolation on SSOI wafer
US9437680B1 (en) 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US9548361B1 (en) 2015-06-30 2017-01-17 Stmicroelectronics, Inc. Method of using a sacrificial gate structure to make a metal gate FinFET transistor
KR102292812B1 (en) 2015-08-18 2021-08-23 삼성전자주식회사 Semiconductor device
US9679899B2 (en) 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
US9570298B1 (en) * 2015-12-09 2017-02-14 International Business Machines Corporation Localized elastic strain relaxed buffer
KR102434914B1 (en) 2016-01-15 2022-08-23 삼성전자주식회사 Method for forming patterns of semiconductor device and method for fabricating semiconductor device using the same
US10529716B1 (en) 2018-10-05 2020-01-07 International Business Machines Corporation Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy
CN110021598B (en) * 2019-04-30 2021-04-27 上海新微技术研发中心有限公司 Method for forming strained layer, semiconductor device and method for manufacturing semiconductor device

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (en) * 1985-09-13 1991-02-14 Siemens Ag INTEGRATED BIPOLAR AND COMPLEMENTARY MOS TRANSISTORS ON A CIRCUIT CONTAINING A COMMON SUBSTRATE AND METHOD FOR THEIR PRODUCTION.
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JP3156878B2 (en) * 1992-04-30 2001-04-16 株式会社東芝 Semiconductor device and method of manufacturing the same
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5986311A (en) * 1997-05-19 1999-11-16 Citizen Watch Company, Ltd. Semiconductor device having recrystallized source/drain regions
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (en) * 1996-03-15 1999-08-02 윤종용 Trench device separation
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6060656A (en) * 1997-03-17 2000-05-09 Regents Of The University Of California Si/SiGe superlattice structures for use in thermoelectric devices
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (en) * 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (en) * 1998-03-02 2000-12-15 윤종용 Method of fabricating trench isolation in an integrated circuit
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (en) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6656697B1 (en) * 1998-09-28 2003-12-02 Lifescan, Inc. Diagnostics based on tetrazolium compounds
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
JP3262089B2 (en) * 1998-12-02 2002-03-04 日本電気株式会社 Condition setting method for differential injection
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
TW517260B (en) * 1999-05-15 2003-01-11 Semiconductor Energy Lab Semiconductor device and method for its fabrication
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (en) * 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
EP1399970A2 (en) * 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (en) * 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6953736B2 (en) * 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
JP4546021B2 (en) * 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 Insulated gate field effect transistor and semiconductor device
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6835618B1 (en) * 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7012009B2 (en) * 2004-02-24 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the electrical continuity for a silicon-germanium film across a silicon/oxide/polysilicon surface using a novel two-temperature process
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby

Also Published As

Publication number Publication date
US7713806B2 (en) 2010-05-11
US7504693B2 (en) 2009-03-17
US20090149010A1 (en) 2009-06-11
US7476580B2 (en) 2009-01-13
TW200608590A (en) 2006-03-01
US20080064197A1 (en) 2008-03-13
CN1691350A (en) 2005-11-02
TW201212244A (en) 2012-03-16
US20050236668A1 (en) 2005-10-27

Similar Documents

Publication Publication Date Title
CN100570889C (en) In body silicon and SOI MOS device, make the structure and the method for dislocation-free stressed channels
US7074623B2 (en) Methods of forming strained-semiconductor-on-insulator finFET device structures
US6893936B1 (en) Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
US8211761B2 (en) Semiconductor system using germanium condensation
US7393732B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US7335545B2 (en) Control of strain in device layers by prevention of relaxation
US20060011984A1 (en) Control of strain in device layers by selective relaxation
US20100176495A1 (en) Low cost fabrication of double box back gate silicon-on-insulator wafers
US8933515B2 (en) Device structure, layout and fabrication method for uniaxially strained transistors
JPH11340465A (en) Soi semiconductor device and fabrication thereof
JP2000031491A (en) Semiconductor device, its manufacture, semiconductor substrate and its manufacture
US8716752B2 (en) Structure and method for making a strained silicon transistor
US20130157424A1 (en) Method for improved mobility using hybrid orientaion technology (HOT) in conjunction with
US20070132011A1 (en) Semiconductor device and method of fabricating the same background
JP3664704B2 (en) Semiconductor device
US10680065B2 (en) Field-effect transistors with a grown silicon-germanium channel
CN100477271C (en) Silicon-on-insulator field effect transistor element having a recombination region and method of forming same
US7351994B2 (en) Noble high-k device
JP2005116981A (en) Semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171102

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171102

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right