CN100530206C - 用于多批次控制的方法和*** - Google Patents

用于多批次控制的方法和*** Download PDF

Info

Publication number
CN100530206C
CN100530206C CNB2005800039994A CN200580003999A CN100530206C CN 100530206 C CN100530206 C CN 100530206C CN B2005800039994 A CNB2005800039994 A CN B2005800039994A CN 200580003999 A CN200580003999 A CN 200580003999A CN 100530206 C CN100530206 C CN 100530206C
Authority
CN
China
Prior art keywords
processing
processing controls
output data
input data
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005800039994A
Other languages
English (en)
Other versions
CN1914618A (zh
Inventor
岳红宇
约瑟夫·威廉·威瑟曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Advanced Micro Devices Inc
Original Assignee
Tokyo Electron Ltd
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Advanced Micro Devices Inc filed Critical Tokyo Electron Ltd
Publication of CN1914618A publication Critical patent/CN1914618A/zh
Application granted granted Critical
Publication of CN100530206C publication Critical patent/CN100530206C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/041Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a variable is automatically adjusted to optimise the performance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Automation & Control Theory (AREA)
  • Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Business, Economics & Management (AREA)
  • Strategic Management (AREA)
  • Human Resources & Organizations (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Economics (AREA)
  • Game Theory and Decision Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Business, Economics & Management (AREA)
  • Tourism & Hospitality (AREA)
  • Quality & Reliability (AREA)
  • Operations Research (AREA)
  • Marketing (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • Drying Of Semiconductors (AREA)
  • Feedback Control In General (AREA)

Abstract

本发明公开了一种用于半导体制造的对多批次的处理进行控制的方法和***。该控制方法利用处理模型建立处理控制输入数据与处理控制输出数据之间的关系。该控制方法包括使目标处理控制输出数据与预测的处理控制输出数据之间的差别最小,所述预测是通过将处理模型应用到新的处理控制输入数据而进行的。

Description

用于多批次控制的方法和***
技术领域
本发明涉及用于对集成电路(IC)制造中的处理控制输出数据进行监视、调整和控制的方法和***,更具体地说,本发明涉及使用多元分析对半导体制造过程中的处理控制输出数据进行监视、调整和控制的方法和***。
背景技术
在贯穿等离子处理(例如半导体或显示器制造等等)的各个阶段中,关键的处理参数可能变化很大。处理条件随时间改变,关键处理参数的极其微小的改变都会产生不良结果。刻蚀气体的成分或气压、处理室或衬底温度容易发生小的改变。因此,等离子处理设备需要持续的监视。即使是在期望名义上产生相同结果的条件下,在等离子处理设备的夹具上沉积物例如绝缘(或导体)层的生长也会改变处理设备的电阻,从而改变现有的电结构,而电能是通过所述电结构耦合到等离子设备中的。因此,即使输入参数恒定,等离子体的密度和等离子体的均匀性也随时间(即使用年限)改变。
在半导体IC的制造过程中,对处理参数进行监视和控制对于获得最高的IC产量和质量是非常重要的。例如,对刻蚀构造临界尺寸的精密控制在镶嵌结构处理中非常重要,所述镶嵌结构处理用于形成IC布线层以及穿过介质层间和层内互连结构,还有门触点。但是,等离子处理设备中产生的上述显著变化妨碍了所需的处理控制。
传统上,对所得的刻蚀过程或所得的刻蚀结构进行后效观察被用作最佳猜测的基础来改变过程控制模型以试图对过程进行“校正”。对过程控制的这种后效途径由于对过程模型进行了校正而造成了产品的浪费。此外,这种后效改变并非设计来预测对过程模型的改变的。
发明内容
本发明说明了一种用于对集成电路(IC)制造中的处理控制输出数据进行监视、调整和控制的方法和***,该方法和***根据响应于目标改变的预测模型对处理模型进行改变以控制处理模型。
本发明这些以及其他的目的是通过用于对半导体制造***中的处理进行控制的方法、***和计算机可读介质,以及用于对处理控制的输入和输出数据进行预测和优化的图形用户界面来实现的。对半导体制造***中的处理进行控制的方法包括:设定用于半导体制造***处理的处理控制输入数据;测量来自半导体制造***处理的处理控制输出数据;确定处理控制输出数据与处理控制输入数据之间的关系得到目标处理结果;设定目标处理控制输出数据;以及通过使目标处理控制输出数据与预测的处理控制输出数据之间的差最小化来计算新的处理控制输入数据,其中,所述预测的处理控制输出数据是利用与所述新的处理控制输入数据的关系确定的。
本发明一种实施例中,用于对半导体制造***中的处理进行控制的控制***包括:设置为耦合到处理工具的处理工具控制器,所述处理工具用于执行处理,其中处理工具控制器包括处理规则控制器和处理模型,所述处理规则控制器设置为对用于该处理的处理控制输入数据进行设定和调节,以及将目标处理控制输出数据设定到目标处理结果,所述处理模型耦合到处理规则控制器,并设置为提供处理控制输入数据与处理控制输出数据之间的关系。处理规则控制器通过使目标处理控制输出数据与预测的处理控制输出数据之间的差最小来产生新的处理控制输入数据,所述预测的处理控制输出数据是利用新的处理控制输入数据根据所述的关系预测的。
本发明的一种实施例中,用于进行处理的半导体制造***包括:用于执行处理的处理工具;和耦合到处理工具的处理工具控制器,其中,所述处理工具控制器包括处理规则控制器和处理模型,所述处理规则控制器设置为对用于处理的处理控制输入数据进行设定和调节,以及将目标处理控制输出数据设定到目标处理结果,所述处理模型耦合到处理规则控制器,并设置为提供处理控制输入数据与处理控制输出数据之间的关系,其中,处理规则控制器通过使目标处理控制输出数据与预测的处理控制输出数据之间的差最小来产生新的处理控制输入数据,所述预测的处理控制输出数据是利用新的处理控制输入数据根据所述关系而预测的。
本发明的一种实施例中,一种用于利用处理模型根据处理控制输入数据预测处理控制输出数据的图形用户界面(GUI)包括:输入装置,所述输入装置使用GUI中的至少一个增量域给处理控制输入数据中至少一个参数输入输入改变;执行装置,所述执行装置执行处理模型以使用所述输入改变来确定处理控制输出数据中至少一个参数的输出改变;以及显示装置,所述显示装置在GUI的输出域中显示所述输出改变。
本发明的一种实施例中,一种用于使用处理模型对处理控制输入数据进行优化以获得目标处理控制输出数据的图形用户界面(GUI)包括:输入装置,所述输入装置用于给处理控制输出数据中至少一个参数输入目标改变;执行装置,所述执行装置执行处理模型以使用所述目标改变来优化对处理控制输入数据中至少一个参数的输入改变;以及显示装置,所述显示装置在GUI的增量域中显示所述输入改变。
本发明的一种实施例中,一种对半导体制造***中的处理进行控制的方法,包括:设定用于所述半导体制造***中的所述处理的处理控制输入数据;测量来自所述半导体制造***中的所述处理的处理控制输出数据;沿着多个处理控制输出数据点确定所述处理控制输出数据与所述处理控制输入数据之间的函数关系;将目标处理控制输出数据设定为目标处理结果;以及通过以下操作来基于目标结果计算新的处理控制输入数据:通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据,并且通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小化来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
本发明的一种实施例中,一种用于对半导体制造***中的处理进行控制的控制***,包括:设置为耦合到处理工具的处理工具控制器,所述处理工具用于执行所述处理,所述处理工具控制器还包括,处理规则控制器,所述处理规则控制器设置为对用于所述处理的处理控制输入数据进行设定和调节,以及将目标处理控制输出数据设定到目标处理结果,以及处理模型,所述处理模型耦合到所述处理规则控制器,并设置为沿着多个处理控制输出数据点提供所述处理控制输入数据与用于所述处理的处理控制输出数据之间的函数关系,其中,所述处理规则控制器设置为基于目标结果生成新的处理控制输入数据,通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据;以及通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
本发明的一种实施例中,一种用于进行处理的半导体制造***,包括:用于执行所述处理的处理工具;和耦合到所述处理工具的处理工具控制器,其中,所述处理工具控制器还包括,处理规则控制器,所述处理规则控制器设置为对用于所述处理的处理控制输入数据进行设定和调节,以及将目标处理控制输出数据设定到目标处理结果,以及处理模型,所述处理模型耦合到所述处理规则控制器,并设置为沿着多个处理控制输出数据点提供所述处理控制输入数据与用于所述处理的处理控制输出数据之间的函数关系,所述处理规则控制器设置为基于目标结果生成新的处理控制输入数据,通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据;以及通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
本发明的一种实施例中,一种对半导体制造***中的处理进行控制的装置,包括:用于设定用于所述半导体制造***中的所述处理的处理控制输入数据的装置;用于测量来自所述半导体制造***中的所述处理的处理控制输出数据的装置;用于沿着多个处理控制输出数据点确定所述处理控制输出数据与所述处理控制输入数据之间的函数关系的装置;用于将目标处理控制输出数据设定为目标处理结果的装置;以及用于通过以下操作来基于目标结果计算新的处理控制输入数据的装置:通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据,并且通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小化来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
附图说明
结合附图,参考下面的详细说明,可以更好地理解本发明,因此易于获得其完整评价并了解及其所具有的众多优点。在附图中,
图1示出了根据本发明的优选实施例的半导体制造***;
图2示出了根据本发明一种实施例的半导体制造***;
图3示出了根据本发明另一种实施例的半导体制造***;
图4示出了根据本发明另一种实施例的半导体制造***;
图5示出了根据本发明另一种实施例的半导体制造***;
图6图示了具有沟槽和触点的蚀刻构造的示意图;
图7图示了使用偏最小二乘法(PLS)分析的示意性流程图;
图8示出了根据本发明的一种实施例,用于预测处理控制输出数据的一种图形用户界面(GUI);
图9示出了根据本发明的另一种实施例,用于优化处理控制输入数据的一种图形用户界面(GUI);
图10示出了根据本发明的一种实施例,对半导体制造***中的处理进行控制的方法;
图11图示了一种计算机***的实施例,本发明的处理工具控制器中的处理器可以在该计算机***上实施。
具体实施方式
现在参考附图特别是图1,其中,在所有的几幅图中,相同的标号表示相同或相应的零件。
根据本发明的一种实施例,图1示出了半导体制造***1,它包括处理工具10和处理控制***100。处理控制***100包括耦合到处理工具10的处理工具控制器55,其中处理工具控制器55包括处理规则控制器110、处理模型150、处理模型校正滤波器120和处理模型校正算法130。处理模型150设置为根据处理控制输入数据预测处理控制输出数据。另外,处理控制***100还可以包括耦合到处理工具10和处理规则控制器110的计量工具140。计量工具140可以耦合到处理工具10和处理工具控制器55,计量工具140可以设置为接收处理工具10中已处理的衬底并测量处理控制输出数据。
处理规则控制器110设置为接收来自计量工具140的处理控制输出数据、根据处理模型150预测处理控制输出数据并将处理控制输出数据确定为目标。处理规则控制器110设置为使用该数据调整处理控制输入数据以便获得该目标处理控制输出数据。
此外,处理模型校正算法130设置为接收来自计量工具140的处理控制输出数据以及处理模型校正滤波器120中设置的权重因子。如果已知当前的处理控制输入数据,处理模型校正算法130可以确定对处理模型的校正。此后用处理模型校正算法130中提出的校正对处理模型150更新。
在图1所图示的实施例中,半导体制造***1使用等离子体用于材料处理。如果愿意,半导体制造***1可以包括刻蚀室。或者,半导体制造***1包括光刻胶涂敷室例如光刻胶旋涂***;光刻胶图样形成室例如紫外(UV)光刻***;介质涂敷室例如玻璃上旋涂(SOG)或介质上旋涂(SOD)***;沉积室例如化学气相沉积(CVD)***或物理气相沉积(PVD)***;快速热处理(RTP)室例如用于退火的RTP***;或批处理竖炉。
根据图2所示的本发明的实施例,半导体制造***1包括处理工具10、固定了待处理衬底25的衬底支架20、气体注入***40和真空抽气***58。衬底25可以是例如半导体衬底、晶片或液晶显示器(LCD)。处理工具10可以例如设置为便于在邻近衬底25表面的处理区域45中产生等离子体,其中等离子体是由热电子与可电离气体之间的碰撞形成的。可电离气体或气体混合物经由气体注入***40引入,并调整处理压力。如果愿意,等离子体可以用来对特定的预定材料处理产生材料,并有助于将材料沉积到衬底25或从衬底25的暴露表面去除材料。例如,控制器55可以用于控制真空抽气***58和气体注入***40。
衬底25可以例如由自动衬底传送***经过开口阀(未示出)和室馈送机构(未示出)传送进出处理工具10,在自动衬底传送***中,衬底25由衬底支架20中的衬底顶杆(未示出)支撑并由其中的装置进行机械平移。衬底传送***接收到衬底25后,衬底25被降低到衬底支架20的上表面。
例如,衬底25可以由静电夹紧***28固定到衬底支架20。此外,衬底支架20还可以包括冷却***,所述冷却***包括再循环冷却液,所述再循环冷却液从衬底支架20吸收热量并将热量传递到热交换器***(未示出),或者在加热时传递来自热交换器***的热量。此外,气体可以由后方气体***26发送到衬底背面,以改善衬底25与衬底支架20之间的气体-间隙热传导性。当需要将衬底的温度控制在升高或降低的温度时,可以使用这样的***。例如,衬底的温度控制在温度超过稳态温度时可能很有利,所述稳态温度是由于从等离子体发送到衬底25的热流量与从衬底25传导到衬底支架20而失去的热流量之间的平衡而实现的。在其他实施例中可以包括加热元件,例如电阻加热元件或热电加热器/冷却器。
如图2所示,衬底支架20包括电极,RF功率经过该电极耦合到处理区域45中的等离子体。例如,衬底支架20可以由从RF发生器30经过阻抗匹配网络32传输到衬底支架20的RF功率电偏压到一个RF电压值。RF偏压可以用于加热电子以形成并维持等离子体。在此结构中,***可以用作反应离子刻蚀(RIE)反应器,其中室和上部气体注入电极用作接地面。RF偏压的通常频率可以在从1MHz到100MHz范围内,优选为13.56MHz。
或者,RF功率可以以多个频率施加到衬底支架电极。此外,阻抗匹配网络32用于通过使反射功率最小而使传送到处理室10中的等离子体的RF功率最大。可以使用各种匹配网络拓扑结构(例如L型、π型、T型等等)和自动控制方法。
接着参考图2,处理气体可以例如经过气体注入***40引入处理区域45。处理气体可以例如包括气体混合物,如氩、CF4和O2,或氩、C4F8和O2,用于氧化刻蚀的应用场合,或者其他化学物质的混合物,例如O2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2。气体注入***40包括喷头,其中,处理气体从气体发送***(未示出)经过气体注入增压器(未示出)、一系列挡板(未示出)和多孔喷头气体注入板(未示出)供给处理区域45。
真空抽气***58可以例如包括每秒能够抽出高达5000升(或更高)的涡轮分子真空泵(TMP)和用于调节室压力的闸门阀。在用于干法刻蚀的传统等离子处理设备中,通常使用每秒1000到3000升的TMP。TMP有利于低压处理,通常小于50mTorr。在更高压力的情况下,TMP抽气速度显著下降。对于高压处理(即高于100mTorr),可以使用机械增压泵和干式低真空泵。此外,用于监视室压力的装置(未示出)耦合到处理室16。压力测量装置可以是例如628B型Baratron绝对电容压力计,它可以从MKS Instruments,Inc.(Andover,MA)买到。
另外,处理控制***100可以包括耦合到处理工具10的多个传感器来测量工具数据,处理工具控制器55可以耦合到传感器以接收机器数据。传感器可以包括处理工具10本身的传感器和处理工具10外部的传感器。处理工具10本身的传感器可以包括与处理工具10的功能有关的传感器,例如测量背面氦气压、背面氦流量、静电夹紧(ESC)电压、ESC电流、衬底支架20的温度(或下方电极(LEL)的温度)、冷却液温度、上方电极(UEL)的温度、前向RF功率、反射的RF功率、RF自感DC偏压、RF峰峰值电压、室壁温度、处理气体流速、处理气体分压、室压力、电容设定(即C1和C2的情况)、聚焦环厚度、RF小时、聚焦环RF小时及其统计值。或者,处理工具10外部的传感器可以包括不与处理工具10的功能直接相关的传感器,例如图2所示用于对处理区域45中的等离子体发射的光进行监视的光检测装置34或图2所示用于对处理工具10的电气***进行监视的电测量装置36。
光检测装置34可以包括用于测量从等离子体发射的总光强的检测器,例如(硅)光电二极管或光电倍增管(PMT)。光检测装置34还可以包括滤光器例如窄带干涉滤光器。在可替换实施例中,光检测装置34包括线阵CCD(电荷耦合器件)或CID(电荷注入器件)阵列,以及光色散装置例如光栅或棱镜。另外,光检测装置34可以包括分光计(例如光栅/检测器***)用于对特定波长的光进行测量,或者光谱仪(例如带有旋转光栅的)用于对光谱进行测量,所述的分光计或光谱仪例如美国专利No.5,888,337中描述的装置。
光检测装置34可以包括从Peak Sensor System获得的高分辨率OES传感器。这种OES传感器具有覆盖紫外(UV)、可见(VIS)和近红外(NIR)光谱范围的宽光谱。分辨率约为1.4埃,即该传感器能够采集从240到1000nm的5550个波长。该传感器装有高灵敏度小型光纤UV-VIS-NIR光谱仪,接下来所述光谱仪还结合了2048象素的线阵CCD。
光谱仪接收经过单条或集束的光纤传送的光,其中从光纤输出的光用固定的光纤分散到线阵CCD上。类似于上述结构,经过光学真空窗发射的光由凸球面镜聚焦到光纤的输入端。三个光谱仪形成了用于处理室的传感器,其中每个光谱仪都被具体调谐到指定的光谱范围(UV、VIS和NIR)。每个光谱仪包括独立的A/D转换器。最后,取决于传感器的用途,可以每过0.1到1.0秒对完整的发射光谱进行记录。
电测量装置36可以包括例如电流和/或电压探测器、功率计或谱分析仪。例如,等离子处理***经常使用RF能量来形成等离子体,在此情况下,用RF传输线例如同轴的电缆或结构来将RF能量经过电耦合元件(例如电感线圈、电极等)耦合到等离子体。使用例如电流-电压探测器的电测量可以在(RF)电路中的任何地方进行,例如在RF传输线中。此外,对电信号的测量,例如对电压或电流的时间跟踪(time trace),可以用离散傅立叶级数形式(假如是周期信号)将信号变换到频域。此后,可以对傅立叶谱(或者,对于时变信号是频率谱)进行监视和分析以描述半导体制造***1的状态。电压-电流探测器可以是例如2001年1月8日递交的在审美国申请No.60/259,862和美国专利No.5,467,013中详细说明的装置,上述文献的全部内容通过引用而结合于此。
在可替换实施例中,电测量装置36可包括宽带RF天线,它有利于测量半导体制造***1外部的辐射RF场。市场上可以买到的宽带RF天线是例如Antenna Research的RAM-220型宽带天线(0.1MHz到300MHz)。
一般来说,多个传感器可以包括本身的和外部的任意数量的传感器,它们可以耦合到处理工具10以向处理工具控制器55提供工具数据。
处理工具控制器55包括微处理器、存储器和数字式I/O端口(可能包括D/A和A/D转换器),能够产生控制电压,所述控制电压足以与半导体制造***1的输入进行通信、将其激活,并足以对来自半导体制造***1的输出进行监视。如图2所示,处理工具控制器55可以耦合到RF发生器30、阻抗匹配网络32、气体注入***40、真空抽气***58、背面气体发送***26、静电夹紧***28、光检测装置34和电测量装置36并与之交换信息。储存在存储器中的程序用于根据所储存的处理规则与半导体制造***1的前述组件进行交互。处理工具控制器55的一种示例是DELLPRECISION WORKSTATION 530TM,它可以从Dell Corporation,Austin,Texas获得。处理工具控制器55可以相对于半导体制造***1位于本地,也可以相对于半导体制造***1位于远程。例如,处理工具控制器55可以使用直接连接、内部网和互联网中的至少一种与半导体制造***1交换数据。处理工具控制器55可以在例如用户所在地(即器件生产商等)耦合到内部网,也可以在例如卖主所在地(即设备制造商)耦合到内部网。另外,例如,处理工具控制器55可以耦合到互联网。此外,另外的计算机(即控制器、服务器等)可以例如访问处理工具控制器55以通过直接连接、内部网和互联网中至少一种来交换数据。
如图3所示,半导体制造***1可以包括磁场***60。例如,磁场***60可以包括静止的、或者是以机械或电气方式旋转的DC磁场,以便可以潜在地增大等离子体密度和/或提高材料处理的均匀性。而且,控制器55可以耦合到磁场***60以调节场强或旋转速度。
如图4所示,半导体制造***1可以包括上方电极70。例如,RF能量可以经过阻抗匹配网络74从RF发生器72耦合到上方电极70。施加到上方电极的RF能量的频率优选为从10MHz到200MHz的范围,优选值为60MHz。另外,施加到下方电极的能量频率可以从0.1MHz到30MHz,优选为2MHz。此外,控制器55可以耦合到RF发生器72和阻抗匹配网络74以控制施加到上方电极70的RF能量。
如图5所示,图1的半导体制造***1可以包括电感线圈80。例如,RF能量可以经过阻抗匹配网络84从RF发生器82耦合到电感线圈80,RF能量可以经过介质窗(未示出)从电感线圈80感性耦合到等离子处理区域45。施加到电感线圈80的RF能量频率优选为从10MHz到100MHz的范围,优选值为13.56MHz。类似地,施加到卡盘电极的能量频率优选为从0.1MHz到30MHz的范围,优选值为13.56MHz。另外,可以使用开槽的法拉第屏蔽(未示出)以减小电感线圈80与等离子体之间的电容耦合。此外,控制器55可以耦合到RF发生器82和阻抗匹配网络84以控制施加到电感线圈80的能量。在可替换实施例中,电感线圈80可以是与如上所述的等离子处理区域45相连的“螺旋”线圈或“盘形”线圈,象变压器耦合等离子体(TCP)反应器中一样。
或者,等离子体可以用电子回旋共振(ECR)来形成。在另一种实施例中,等离子体由发射螺旋波形成。在另一种实施例中,等离子体由传播的表面波形成。
如上所述,处理控制***100包括处理工具控制器55,其中处理工具控制器55设置为设定操作处理工具10所需的处理控制输入数据。例如,可以对这组处理控制输入数据进行选择以实现一组目标处理控制输入数据。处理工具控制器55还能够执行至少一种算法以从计量工具140接收处理控制输出数据、确定处理控制输入数据与处理控制输出数据之间的关系(处理模型150)、更新多批次(run-to-run)的处理模型、针对目标处理控制输出数据使用处理模型优化处理控制输入数据,并将处理模型用于处理控制。
处理模型150建立了处理控制输入数据与处理控制输出数据之间的关系,因此,对于给定的处理控制输入数据观测结果,处理模型150能够预测处理控制输出数据。下面说明构建处理模型150的方法。
在一种示例中,半导体制造***1可以是设定用于干法等离子刻蚀的等离子处理设备。更具体地说,等离子处理设备可以包括例如图3所示的刻蚀***,它适用于在介质膜例如二氧化硅中刻蚀沟槽、过孔或触点中至少其一。例如,图6图示了用镶嵌处理形成的器件结构200的简化示意图,所述器件结构200具有金属间绝缘层210和220、刻蚀停止层215和阻挡层225,已经用刻蚀处理穿过这些层形成了沟槽230和过孔/触点240。沟槽/过孔结构可以最终提供到金属线250的电接触。尽管上述说明是针对刻蚀处理进行的,但是它并非意在以任何方式限制本发明的范围。
对于例如图6所示的介质刻蚀处理,处理控制输入数据可以例如包括RF功率、处理压力、C4F8流速、O2流速和CO流速。此外,如图6所示,处理控制输出数据可以例如包括沟槽260顶部的临界尺寸、沟槽262底部的临界尺寸、沟槽侧壁264的坡度、触点270顶部的临界尺寸、触点272底部的临界尺寸以及触点274侧壁的坡度。
临界尺寸和坡度的测量可以用扫描电镜(SEM)直接进行以观察衬底裂口的SEM显微照片,或者使用先进的原位技术间接进行,所述技术例如DUV椭偏光谱分析(例如参见“Specular spectroscopicscatterometry”,IEEE Transactions on Semiconductor Manufacturing,Vol.14,No.2,May 2001,其全部内容通过引用结合于此)。市场上可以买到的以光学数字式轮廓测定为特征的产品是Timbre Technologies,Inc.,TEL公司(5341 Randall Place,Fremont,CA 94538)出售的与Therma-Wave,Inc.(1250 Reliance Way,Fremont,CA 94539)的硬件相结合的产品。
包括处理控制输入数据和处理控制输出数据在内的每组数据都包括一组观测结果,既可以是对每个衬底进行单一的观测,也可以是对每个衬底进行多个观测。一组观测结果中包括处理控制输入数据和处理控制输出数据的每个观测结果都可以包括第n顺序统计量(例如时间平均值、时间跟踪的rms、时间跟踪的偏度、互相关、自相关、方差等)。另外,观测结果可以包括参量数据的平方或叉积。
例如,使用上述的处理控制输入数据参数组,可以进行实验设计(DOE)。DOE可以包括具有三(3)个水平、五(5)个因子(即处理控制输入数据参数)和六(6)个中心点的Box-Behnken设计,它转化为四十六(46)个观测结果(或衬底批次)。
如果有多个观测结果组,则可以用多元分析(MVA)确定所述多个观测结果组中的处理控制输入数据与所述多个观测结果组中的处理控制输出数据之间的关系。用于确定这种关系的一种示例性MVA技术是偏最小二乘法(PLS)建模。
使用PLS分析,从多个传感器或当前的处理规则条件获得处理控制输入数据的观测结果组。对于每个观测结果组,处理控制输入数据可以作为矩阵X的行储存,处理控制输出数据可以作为矩阵Y的行存储。因此,一旦组成矩阵X,则每个行表示不同的观测结果,每个列表示不同的处理控制输入数据参数,一旦组成矩阵Y,则每个行表示不同的观测结果,每个列表示不同的处理控制输出数据参数。因此,以上述参数组为例,矩阵X是维数为56乘5的矩形矩阵。类似地,例如,矩阵Y是维数为46乘6的矩形矩阵。更概括一点,矩阵X可以是m乘n的矩阵,矩阵Y可以是m乘p的矩阵。一旦所有数据都存储在矩阵中,即可根据需要对数据进行中心平均(mean-centered)和/或归一化。对储存在矩阵列中的数据进行中心平均的处理包括计算列元素的平均值并从每个元素中减去该平均值。此外,矩阵列中存在的数据可以用列中数据的标准差进行归一化。
在PLS分析中,可以定义一组载荷(或相关)系数,它们将处理控制输入数据(X)与处理控制输出数据(Y)联系起来。通常对于多元分析,处理控制输入数据与处理控制输出数据之间的关系可以如下表示:
XB=Y          (1)
其中X表示上述m乘n的矩阵,B表示n乘p(p<n)的载荷(或相关)矩阵,Y表示上述m乘p的矩阵。
一旦组成矩阵X和Y,即可用PLS分析建立一种关系,该关系设计为X和Y空间的最佳近似,并且使X与Y之间的相关最大。
在PLS分析模型中,矩阵X和Y分解如下:
X=TPT+E;          (2a)
Y=UCT+F;          (2b)
U=T+H;            (2c)
其中T为概括X变量的得分矩阵,P为矩阵X的载荷矩阵,U为概括Y变量的得分矩阵,C为表示Y与T(X)之间相关性的权重矩阵,E、F和H为残差矩阵。此外,PLS分析模型中有额外载荷W,称为权重,它表示U和X之间的相关性,并用于计算T。概括地说,PLS分析在几何上对应于将直线、平面或超平面拟合到用多维空间中的点表示的X和Y数据,其目的是对原始数据表X和Y进行良好近似,并使超平面上的观测结果位置之间的协方差最大。
图7示意性表示了PLS分析的输入数据X和Y以及相应的输出T、P、U、C、W、E、F、H和变量投影重要性指标(VIP)。可以买到的支持PLS分析建模的软件例如随MATLAB提供的PLS Toolbox(可以从The Mathworks,Inc.,Natick,MA买到)或者SIMCA-P 8.0(可以从Umetrics,Kinnelon,NJ买到)。
变量投影重要性指标(VIP)数据可以用于识别输入数据组(即处理控制输入数据)中对X与Y之间的相关性贡献最大的参数。例如,使用上述示例性刻蚀处理,可以将五(5)个处理控制输入数据参数减少到C4F8流速、O2流速和处理压力。
通常,这类软件输出与模型描述能力(即X与Y之间获得的相关性的质量)和模型的预测能力有关的其他重要信息。例如,SIMCA-P每次对一个PLS成分进行迭代计算,即每个X得分矩阵T、Y得分矩阵U、权重W和C以及载荷P计算一个矢量。对PLS分量的计算按重要性的降序进行。在计算每个PLS分量之后,SIMCA-P可以显示下列内容:由当前分量解释的所有Y和X的平方和(SS)的部分(R2X,R2Y);由当前分量解释的所有Y和X的方差的部分(R2Xadj,R2Yadj);由所有提取分量解释的所有Y和X的累积SS(R2X(cum),R2Y(cum));以及由所有提取分量解释的所有Y和X的累积方差(R2Xadj(cum),R2Yadj(cum))。
此外,对于每个活动变量,可以显示被解释的SS(R2V)或方差(R2Vadj)部分。这个值是对当前分量计算的,也是对所有PLS分量累积计算的。对于应变量Y,这个值对应于R2(复相关系数),即拟合的“吻合程度”。例如,利用上述数据,表1示出了对于每个处理控制输出数据参数,对于Y的这个值(R2VY(cum)),即沟槽260顶部的临界尺寸、沟槽262底部的临界尺寸、沟槽侧壁264的坡度、触点270顶部的临界尺寸、触点272底部的临界尺寸和触点274的侧壁坡度(参见图6)。
表1
  预测的过程控制输出数据   R<sup>2</sup>
  CD_Trench bottom(沟槽底部临界尺寸)   0.962
  CD_Trench top(沟槽顶部临界尺寸)   0.722
  Trench slope(沟槽坡度)   0.931
  CD_Contact bottom(触点底部临界尺寸)   0.963
  CD_Contact top(触点顶部临界尺寸)   0.899
  Contact slope(触点坡度)   0.906
通常,用于确定模型维数(有效PLS分量的数目)的附加判据是交叉校验(cross validation)。采用交叉校验,将观测结果排除在模型的发展之外,然后由模型对排除在外的观测结果的响应值(Y)进行预测,并与实际值进行比较。这种过程重复多次,直到每个观测结果都被排除一次且仅被排除一次。预测误差平方和(PRESS)是当观测结果被排除在外时观测到的Y与预测值之间的平方差。对于每个维度,计算总体的PRESS/SS,其中SS是前一个维度的残差平方和,并对每个Y变量(m)计算(PRESS/SS)m。这些值是模型预测能力的良好量度。例如,SIMCA-P可以表示如下这些信息:可由某分量预测的Y的全变差的比例(Q2=(1.0-PRESS/SS));可由某分量预测的变量Ym的变差的比例(Q2V=(1.0-PRESS/SS)m);对于提取分量的累积Q2(Q2 cum=∏(1.0-PRESS/SS)a);以及变量的累积Q2V(Q2Vcum=∏(1.0-PRESS/SS)ka)。
现在参考图8,示出了用于用PLS分析开发的处理模型预测处理控制输出数据的图形用户界面(GUI)。GUI屏幕300包括用于指明处理控制输入数据变化的一个或多个域。例如,设有用于改变C4F8流速的第一增量域302、用于改变O2流速的第二增量域304和用于改变处理压力的第三增量域306。可以输入数字值例如正一(+1)或负一(-1)来调整具体参数;流速用标准立方厘米每秒(sccm)为单位,压力用mTorr为单位。
另外,可以用第一包含(inclusion)域308、第二包含域310和第三包含域312来识别可以进行改变的参数。例如,如果选择了某个包含域,则不允许改变相应的参数。此外,设有用于指定最小值和最大值的第一最小和第一最大范围314,对第一参数的改变在所述最小值和最大值之间有效;设有用于指定最小值和最大值的第二最小和第二最大范围316,对第二参数的改变在所述最小值和最大值之间有效;设有用于指定最小值和最大值的第三最小和第三最大范围318,对第三参数的改变在所述最小值和最大值之间有效。例如,最小和最大范围可以设定为使参数范围与处理模型所提供的预测的最高置信度有关。尽管此处只指出了三个处理控制输入数据参数,但是也可以使用更多或更少,因此,此示例不以任何方式限制本发明的范围。
继续参考图8,一旦通过应用功能320要求对处理控制输入数据进行改变,则处理模型提交对处理控制输出数据的改变,所述改变例如用于沟槽顶部临界尺寸的第一输出域322、用于沟槽底部临界尺寸的第二输出域324、用于沟槽侧壁坡度的第三输出域326、用于触点顶部临界尺寸的第四输出域328、用于触点底部临界尺寸的第五输出域330和用于触点侧壁坡度的第六输出域332。尽管此处只指出了六个处理控制输出参数,但是也可以使用更多或更少,因此,此示例不以任何方式限制本发明的范围。
图9示出了另一个图形用户界面(GUI),它用于优化一组处理控制输入数据以实现处理控制输出数据中具体参数的目标改变。GUI屏幕400包括用于显示处理控制输入数据中预定改变的一个或多个域。例如,设有用于预测C4F8流速改变的第一增量域402、用于预测O2流速改变的第二增量域404和用于预测处理压力改变的第三增量域406。可以根据对处理控制输入数据的优化显示数字值例如正一(+1)或负一(-1)来获得对处理控制输出数据参数的具体改变;流速用标准立方厘米每秒(sccm)为单位,压力用mTorr为单位。另外,可以用第一包含域408、第二包含域410和第三包含域412来识别可以提出预测改变的参数。例如,如果选择了某个包含域,则不允许优化处理改变相应的参数。此外,设有用于指定最小值和最大值的第一最小和第一最大范围414,对第一参数的改变在所述最小值和最大值之间有效;设有用于指定最小值和最大值的第二最小和第二最大范围416,对第二参数的改变在所述最小值和最大值之间有效;设有用于指定最小值和最大值的第三最小和第三最大范围418,对第三参数的改变在所述最小值和最大值之间有效。例如,最小和最大范围可以设定为使参数范围与处理模型所提供的预测的最高置信度有关。尽管此处只指出了三个处理控制输入数据参数,但是也可以使用更多或更少,因此,此示例不以任何方式限制本发明的范围。
继续参考图9,对于具体的处理控制输出数据参数可以指定目标改变424。对于所示的示例,处理控制输出数据参数是沟槽底部的临界尺寸。一旦输入目标改变424,即可用优化功能422对域402、404和406中的处理控制输入数据组进行优化。此后,可以通过选择应用功能420来接受对这组处理控制输入数据的改变。
图10示出了对半导体制造***中的处理进行控制的方法,所述***例如是图1到图5中所说明的***。该方法图示于流程图500中,开始于步骤510,对于将要在半导体制造***中进行的处理设定处理控制输入数据。处理控制输入数据可以使用一个或多个数据参数,所述参数用于设定处理所用的处理规则。例如,在刻蚀***中,一个或多个数据参数可以包括下列的至少一个:RF功率、压力、温度、(多个)气体流速、电压、电流、密度、光强、衬底背面气压等。在上述示例中,处理控制输入数据包括RF功率、压力、C4F8流速、O2流速和CO流速。另外,例如,在旋涂***中,例如用光敏材料涂敷衬底的***中,处理控制输入数据可以包括下列的至少一个:涂敷流体的分配速率、涂敷流体的成分、旋转卡盘的转速、压力、温度、湿度等。为特定处理设定一组处理控制输入数据的技术是半导体制造领域技术人员熟知的。
在步骤520中,用处理控制输入数据作为对处理的输入而执行处理。处理可以例如包括刻蚀处理、沉积处理、旋涂处理、扩散处理、氧化处理、热处理等。
在步骤530中,针对于半导体制造***中进行的处理测量处理控制输出数据。处理控制输出数据可包括表示处理性能的一个或多个数据参数。例如,在刻蚀***中,所述的一个或多个数据参数可以包括下列的至少一个:临界尺寸、构造侧壁(例如刻蚀构造的侧壁轮廓)的坡度、刻蚀深度、刻蚀速率、刻蚀选择性(第一材料的刻蚀速率与第二材料的刻蚀速率之比)等。或者,例如,在沉积处理中,所述的一个或多个数据参数可以包括下列的至少一个:沉积速率、膜特性(例如膜应力、孔隙率等)、均匀度、膜厚度等。或者,例如,在等离子处理中,所述的一个或多个数据参数可以包括下列的至少一个:等离子体密度(例如用Langmuir探测来获得)、离子能量(例如用离子能谱分析仪获得)、化学物质浓度(例如用发射光谱获得)、温度、压力等。或者,例如,在旋涂***中,所述的一个或多个数据参数可以包括下列的至少一个:掩模(例如光刻胶)膜厚度、掩模(例如光刻胶)图样临界尺寸等。
在步骤540中,确定处理控制输入数据与处理控制输出数据之间的关系(例如图1中的处理模型150)。该关系可以包括由多元分析(MVA)例如上述偏最小二乘法(PLS)分析所推出的处理模型。例如,当处理控制输入数据包括多个输入数据参数,处理控制输出数据包括多个输出数据参数时,处理模型可以包括多输入多输出(MIMO)模型,即
Y=f(X)+C           (3)
其中Y为包括处理控制输出数据的矢量,X为包括处理控制输入数据以及例如其平方项和交叉项的矢量,C为包括常数的矢量,所述常数例如可以在多个批次之间更新(例如在多个衬底之间、多个观测结果之间等)。或者,例如,当处理控制输入数据只包括一个输入数据参数,处理控制输出数据只包括一个输出数据参数时,处理模型可以包括单输入单输出(SISO)模型,即
y=f(x)+c=b2x2+b1x+c    (4)
其中y、x是单值变量,c为常数。
在步骤550中,由操作者或用户将目标处理控制输出数据yTY T设定到处理的期望目标结果。目标数据可以在处理工具的本地,也可以在其远程进行设定。
在步骤560中,一旦对处理设定了目标处理控制输出数据,则确定目标处理控制输出数据与对于该处理测得的处理控制输出数据之间的差别。如果在步骤562中这种差别超过了阈值,则在步骤580中计算新的处理控制输入数据。如果在步骤562中这种差别没有超过阈值,则使用旧的处理控制输入数据。可以选择包括这种对比,这使控制处理的方法可以避免控制过于灵敏,即避免在当前的处理控制输出数据离目标数据还在可接受的范围内时就对处理控制输入数据进行改变。
在步骤580中,通过使步骤550中设定的目标处理控制输出数据与步骤540中的处理控制输出数据之间的差别最小来计算新的处理控制输入数据,其中所述处理控制输出数据是由应用到处理模型的新的处理控制输入数据预测的。例如,使用SISO处理模型时,最小化问题可以表示为
x k + 1 = min x k + 1 | | y T - ( b 2 x k + 1 2 + b 1 x k + 1 + c k + 1 ) | | 2 - - - ( 5 a )
或者更一般地,对于MIMO处理模型,
X &OverBar; k + 1 = min x k + 1 | | Y &OverBar; T - ( f ( X &OverBar; k + 1 ) + C &OverBar; K + 1 ) | | 2 - - - ( 5 b )
其中“k+1”表示对于新处理的新数据。使用的算法可以例如包括Newton-Rhapson求解法。由于最小化问题是个优化问题,在试图求解优化问题时可能遇到三种情况,即精确解、欠定问题和超定问题。在存在多个解的情况下,另一个判据是用于处理控制输入数据的(多个)旧值与新的处理控制输入数据之间的改变应当最小,即
x k + 1 = min x k + 1 | | x k + 1 - x k | | 2 - - - ( 6 a )
或者更一般地,
X &OverBar; k + 1 = min x k + 1 | | X &OverBar; k + 1 - X &OverBar; k | | 2 - - - ( 6 b )
在步骤564中,提供了在使用例如MIMO处理模型计算新的处理控制输入数据期间对处理控制输入数据进行加权的选项。如果选择该选项,则在步骤566中对处理控制输入数据组中的至少一个数据参数进行加权。例如,可以对一个数据参数给予比另一个数据参数更大的权重,因此为达到目标处理控制输出数据所需的对处理控制输入数据的改变偏向于权重更大的数据参数。在步骤580中解决的最小化问题现在成为(对于MIMO处理模型)
X &OverBar; k + 1 = min x k + 1 | | VX &OverBar; k + 1 - VX &OverBar; k | | 2 - - - ( 7 )
在步骤568中,提供了在使用MIMO处理模型计算新的处理控制输入数据期间对处理控制输出数据进行加权的选项。如果选择该选项,则在步骤570中对处理控制输出数据组中的至少一个数据参数进行加权。例如,可以对一个数据参数给予比另一个数据参数更大的权重,因此所需的对处理控制输入数据的改变偏向于权重更大的数据参数。在步骤580中解决的最小化问题现在成为(对于MIMO处理模型)
X &OverBar; k + 1 = min x k + 1 | | W &OverBar; ( Y &OverBar; T - ( f ( X &OverBar; k + 1 ) + C &OverBar; k + 1 ) ) | | 2 - - - ( 8 )
其中W为处理控制输出数据权重矩阵。
在步骤590中,使用当前的处理控制输入数据或步骤580中确定的新的处理控制输入数据在半导体制造***中执行处理。
在步骤600中,针对步骤590所执行的处理测量处理控制输出数据。
在步骤602中,作出对步骤540中所建立的关系(或处理模型)进行更新的决定。如果要求对处理模型进行更新,则在步骤604中更新处理模型。例如,可以用指数加权移动平均(EWMA)滤波器进行更新,即
ck+1=λ(yk-b2xk 2-b1xk)+(1-λ)ck         (9a)
或者更一般地,对于MIMO处理模型,
C k+1=λ(Y k-f(X k))+(1-λ)C k              (9b)
其中λ表示EWMA滤波系数(0≤λ≤1)。例如,当λ=0时,处理模型未被更新,从处理“k”到处理“k+1”,常数c保持不变。当λ=1时,处理模型更新,从处理“k”到处理“k+1”,常数c未采用任何旧的常数ck
图11图示了计算机***1201的一种实施例,本发明的处理工具控制器110可在其上实现。对计算机***1201进行编程和/或将其设置为进行处理工具控制器110的任何或所有功能,包括上述流程图500中说明的方法。计算机1201包括总线1202或用于传递信息的其他通信机构以及与总线1202耦合用于处理信息的内部处理器1203。计算机***1201包括存储器1204,例如耦合到总线1202的随机存取存储器(RAM)或其他动态存储器件(例如动态RAM(DRAM)、静态RAM(SRAM)和同步DRAM(SDRAM)),它用于存储信息和由内部处理器1203执行的指令。另外,存储器1204可以用于在内部处理器1203执行指令过程中存储临时变量或其他中间信息。计算机***1201优选地包括耦合到总线1202的非易失性存储器,例如只读存储器(ROM)1205或其他静态存储器件(例如可编程ROM(PROM)、可擦除PROM(EPROM)以及电可擦除PROM(EEPROM)),它用于存储内部处理器1203所用的静态信息和指令。
计算机***1201可以包括特定目的的逻辑器件(例如专用集成电路(ASIC))或可设置的逻辑器件(例如简单可编程逻辑器件(SPLD)、复杂可编程逻辑器件(CPLD)和现场可编程门阵列(FPGA))。
内部处理器1203执行存储器例如主存储器1204中包含的一个或多个指令的一个或多个序列,计算机***1201响应于处理器的活动而进行本发明的部分或全部的处理步骤。这些指令可以从另一个计算机可读介质读入主存储器1204,所述另一个计算机可读介质例如硬盘1207或可移动式介质驱动器1208。这种能力主要是要与电子监视装置位于半导体处理***12外部的实施例相容。也可以使用多处理结构中的一个或多个处理器执行主存储器1204中包含的指令序列。在另外的实施例中,可以使用硬连线的电路取代软件指令或与之组合。因此,实施方式不限于硬件电路和软件的任何具体组合。
如上所述,计算机***1201包括至少一个计算机可读介质或存储器用于保存根据本发明的教导编程的指令和包含此处所述的数据结构、表、记录或其他数据。适用于本发明的计算机可读介质的示例有光盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、flash EPROM)、DRAM、SRAM、SDRAM、或任何其他磁性介质、光盘(例如CD-ROM)、或任何其他光学介质、穿孔卡片、纸带、或带有孔结构的其他物理介质、载波(下面进行说明)、或其他任何计算机可从其读取的介质。
本发明包括软件,软件存储在计算机可读介质中任一种或其组合之上,用于控制计算机***1201、驱动用于实施本发明的器件或装置、以及使得计算机***1201实现与人类用户的交互(例如与消耗件处理人员的交互)。这些软件可以包括但不限于设备驱动器、操作***、开发工具以及应用软件。这些计算机可读介质还包括本发明中的计算机程序产品,用于进行实施本发明所需进行的全部或部分(如果处理是分布式的)处理。
本发明的计算机代码装置可以是任何可解释或可执行的代码机制,包括但不限于脚本、可解释的程序、动态链接库(DLL)、Java类以及完整的可执行程序。此外,本发明的各部分处理可以是分布式以便获得更好的性能、可靠性和/或成本。
此处所用的术语“计算机可读介质”指参与了向内部处理器1203提供指令用于执行的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质以及传输介质。非易失性介质包括例如光盘、磁盘和磁光盘,例如硬盘1207或可移动介质驱动器1208。易失性介质包括动态存储器,例如主存储器1204。传输介质包括同轴电缆、铜导线和光纤,包括构成总线1202的电线。传输介质也可以采取声波或光波的形式,例如那些在无线电波和红外数据传输中产生的波。
在将一个或多个指令的一个或多个序列传送到内部处理器1203用于执行的过程中,可能涉及多种形式的计算机可读介质。例如,一开始可以在远程计算机的磁盘上携带指令。远程计算机,例如工具控制器26,可以从远程将实施本发明的全部或部分内容所需的指令装入动态存储器并将指令发送到电子监视装置10。耦合到总线1202的红外检测器可以接收红外信号中携带的数据并将数据安排到总线1202上。总线1202将数据传送到主存储器1204,内部处理器1203从主存储器1204取回并执行指令。主存储器1204接收的指令在内部处理器1203执行之前或之后都可以可选地存储在存储装置1207或1208上。
计算机***1201还包括耦合到总线1202的通信接口1213。通信接口1213提供了耦合到网络连接1214的双向数据通信,所述网络连接1214连接到例如局域网(LAN)1215或另一个通信网络1216例如互联网。例如,通信接口1213可以是网络接口卡以加入到任何分组交换LAN。作为另一个例子,通信接口1213可以是非对称数字用户线路(ADSL)卡、综合业务数字网(ISDN)卡或调制解调器,它向相应类型的通信线路提供数据通信连接。也可以使用无线连接。在任何的这些实施方式中,通信接口1213发送和接收电的、电磁的或光的信号,所述信号携带了表示各种类型信息的数字式数据流。
网络连接1214通常经过一个或多个网络向其他数据装置提供数据通信。例如,网络连接1214可以提供经过本地网络1215(例如LAN)或经过服务提供商操作的设备而通到另一计算机的连接,所述服务提供商经过通信网络1216提供通信服务。本地网络1214和通信网络1216使用携带了数字式数据流的例如电的、电磁的或光的信号以及相关的物理层(例如CAT 5电缆、同轴电缆、光纤等)。经过各种网络的信号,以及网络连接1214上并经过通信接口1213的信号,它们携带了来自或送往计算机***1201的数字式数据,可以用基带信号或基于载波的信号的形式实现。基带信号将数字式数据以未调制的电脉冲形式进行传送,所述电脉冲描述数字式的数据位流,其中术语“位”应当广义解释为意味着符号,每个符号传送至少一个或多个信息位。数字式数据也可以用来对载波进行调制,例如由幅值的、相位的和/或频移键控的信号进行,其中所述信号经过导体介质传播,或者作为电磁波经过传播介质传递。因此,数字式数据可以作为未调制的基带数据经过“有线”连接通道发送和/或不同于基带方式地通过调制载波在预定频带内发送。计算机***1201可以经过(多个)网络1215和1216、网络连接1214以及通信接口1213发送和接收数据,包括程序代码。此外,网络连接1214可以提供经过LAN 1215到移动装置1217的连接,所述移动装置1217例如个人数字助理(PDA)、膝上型计算机或蜂窝电话。
尽管上面只详细说明了本发明的特定示例性实施例,本领域技术人员易于理解,在示例性实施例范围内可以有许多变型而不实质性脱离本发明的新颖内容和优点。因此,所有这些变型都应当包括在本发明的范围内。

Claims (51)

1.一种对半导体制造***中的处理进行控制的方法,包括:
设定用于所述半导体制造***中的所述处理的处理控制输入数据;
测量来自所述半导体制造***中的所述处理的处理控制输出数据;
沿着多个处理控制输出数据点确定所述处理控制输出数据与所述处理控制输入数据之间的函数关系;
将目标处理控制输出数据设定为目标处理结果;以及
通过以下操作来基于目标结果计算新的处理控制输入数据:
通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据,并且
通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小化来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
2.根据权利要求1所述的方法,还包括:对所述处理控制输入数据与所述处理控制输出数据之间的所述函数关系进行更新。
3.根据权利要求2所述的方法,其中,所述的对所述关系进行更新包括:使用指数加权移动平均滤波器。
4.根据权利要求1所述的方法,其中,所述计算包括:在计算所述新的处理控制输入数据之前对所述处理控制输入数据进行加权。
5.根据权利要求1所述的方法,其中,所述计算包括:在计算所述新的处理控制输入数据之前对所述处理控制输出数据进行加权。
6.根据权利要求1所述的方法,还包括:使所述处理控制输入数据与所述新的处理控制输入数据之间的差别最小。
7.根据权利要求1所述的方法,其中,所述的确定包括:用由偏最小二乘法分析开发的处理模型建立所述关系。
8.根据权利要求1所述的方法,其中,所述的确定包括:用多输入多输出处理模型建立所述关系。
9.根据权利要求8所述的方法,其中,所述建立还包括:建立以Y=f(X)+C为特征的多输入多输出处理模型,其中,Y包括处理控制输出数据,X包括处理控制输入数据,C包括常数组,f()表示X的函数。
10.根据权利要求9所述的方法,其中,所述函数f()表示线性函数。
11.根据权利要求9所述的方法,其中,所述函数f()表示非线性函数。
12.根据权利要求1所述的方法,其中,所述的设定包括:为刻蚀处理设定所述处理控制输入数据。
13.根据权利要求1所述的方法,其中,所述的设定包括:为下列至少其一设定所述处理控制输入数据:射频功率、压力、流体流速、温度、转速和成分。
14.根据权利要求1所述的方法,其中,所述的设定所述处理控制输入数据包括:设定所述处理控制输入数据,所述处理控制输入数据包括下列至少其一:射频功率、压力、C4F8流速、CO流速和O2流速。
15.根据权利要求1所述的方法,其中,所述的测量来自所述半导体制造***中的所述处理的处理控制输出数据包括:测量所述处理控制输出数据,所述处理控制输出数据包括下列至少其一:临界尺寸、坡度、轮廓、刻蚀速率、刻蚀深度、沉积速率和膜厚度。
16.根据权利要求15所述的方法,其中,所述的测量来自所述半导体制造***中的所述处理的处理控制输出数据包括:测量下列至少其一:沟槽顶部的临界尺寸、所述沟槽底部的临界尺寸、所述沟槽侧壁的坡度、触点顶部的临界尺寸、所述触点底部的临界尺寸以及所述触点侧壁的坡度。
17.根据权利要求1所述的方法,其中,所述的计算包括:用Newton-Rhapson技术使所述差别最小化。
18.一种用于对半导体制造***中的处理进行控制的控制***,包括:
设置为耦合到处理工具的处理工具控制器,所述处理工具用于执行所述处理,
所述处理工具控制器还包括,
处理规则控制器,所述处理规则控制器设置为对用于所述处理的处理控制输入数据进行设定和调节,以及将目标处理控制输出数据设定到目标处理结果,以及
处理模型,所述处理模型耦合到所述处理规则控制器,并设置为沿着多个处理控制输出数据点提供所述处理控制输入数据与用于所述处理的处理控制输出数据之间的函数关系,
其中,所述处理规则控制器设置为基于目标结果生成新的处理控制输入数据,通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据;以及通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
19.根据权利要求18所述的***,还包括计量工具,所述计量工具耦合到所述处理工具控制器并设置为耦合到所述处理工具,所述计量工具设置为对用于所述处理的处理控制输出数据进行测量并将测得的处理控制输出数据提供给所述处理工具控制器用于更新所述处理模型。
20.根据权利要求19所述的***,其中,所述处理工具控制器设置为使用指数加权移动平均滤波器更新所述处理模型。
21.根据权利要求18所述的***,其中,所述处理模型包括偏最小二乘法分析。
22.根据权利要求18所述的***,其中,所述处理模型包括多输入多输出处理模型。
23.根据权利要求22所述的***,其中,所述多输入多输出模型以Y=f(X)+C为特征,其中,Y包括处理控制输出数据,X包括处理控制输入数据,C包括常数组,f()表示X的函数。
24.根据权利要求23所述的***,其中,所述函数f()表示线性函数。
25.根据权利要求23所述的***,其中,所述函数f()表示非线性函数。
26.根据权利要求18所述的***,其中,所述处理包括刻蚀处理。
27.根据权利要求18所述的***,其中,所述处理控制输入数据包括下列至少其一:射频功率、压力、流体流速、温度、转速和成分。
28.根据权利要求18所述的***,其中,所述处理控制输入数据包括下列至少其一:射频功率、压力、C4F8流速、CO流速和O2流速。
29.根据权利要求18所述的***,其中,所述处理控制输出数据包括下列至少其一:临界尺寸、坡度、轮廓、刻蚀速率、刻蚀深度、沉积速率和膜厚度。
30.根据权利要求29所述的***,其中,所述处理控制输出数据包括下列至少其一:沟槽顶部的临界尺寸、所述沟槽底部的临界尺寸、所述沟槽侧壁的坡度、触点顶部的临界尺寸、所述触点底部的临界尺寸以及所述触点侧壁的坡度。
31.根据权利要求18所述的***,其中,所述处理工具控制器设置为用Newton-Rhapson技术使所述差别最小化。
32.一种用于进行处理的半导体制造***,包括:
用于执行所述处理的处理工具;和
耦合到所述处理工具的处理工具控制器,其中,所述处理工具控制器还包括,
处理规则控制器,所述处理规则控制器设置为对用于所述处理的处理控制输入数据进行设定和调节,以及将目标处理控制输出数据设定到目标处理结果,以及
处理模型,所述处理模型耦合到所述处理规则控制器,并设置为沿着多个处理控制输出数据点提供所述处理控制输入数据与用于所述处理的处理控制输出数据之间的函数关系,
所述处理规则控制器设置为基于目标结果生成新的处理控制输入数据,通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据;以及通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
33.根据权利要求32所述的***,还包括计量工具,所述计量工具耦合到所述处理工具控制器并耦合到所述处理工具,其中,所述计量工具设置为对用于所述处理的处理控制输出数据进行测量并将测得的处理控制输出数据提供给所述处理工具控制器用于更新所述处理模型。
34.根据权利要求32所述的***,其中,所述处理工具包括下列至少其一:刻蚀处理工具、沉积处理工具、旋涂处理工具和热处理***工具。
35.一种对半导体制造***中的处理进行控制的装置,包括:
用于设定用于所述半导体制造***中的所述处理的处理控制输入数据的装置;
用于测量来自所述半导体制造***中的所述处理的处理控制输出数据的装置;
用于沿着多个处理控制输出数据点确定所述处理控制输出数据与所述处理控制输入数据之间的函数关系的装置;
用于将目标处理控制输出数据设定为目标处理结果的装置;以及
用于通过以下操作来基于目标结果计算新的处理控制输入数据的装置:
通过将所述函数关系应用到所述新的处理控制输入数据来产生与所述多个处理控制输出数据点相关联的预测的处理控制输出数据,并且
通过使所述目标处理控制输出数据与所述预测的处理控制输出数据之间的累积差别最小化来修正所述新的处理控制输入数据,从而改进对所述处理的控制。
36.根据权利要求35所述的装置,还包括:
用于对所述处理控制输入数据与所述处理控制输出数据之间的所述关系进行更新的装置。
37.根据权利要求36所述的装置,其中,所述用于对所述处理控制输入数据与所述处理控制输出数据之间的所述关系进行更新的装置包括:
用于使用指数加权移动平均滤波器的装置。
38.根据权利要求35所述的装置,其中,用于计算新的处理控制输入数据的装置包括:
用于在计算所述新的处理控制输入数据之前对所述处理控制输入数据进行加权的装置。
39.根据权利要求35所述的装置,其中,用于计算新的处理控制输入数据的装置包括:
用于在计算所述新的处理控制输入数据之前对所述处理控制输出数据进行加权的装置。
40.根据权利要求35所述的装置,还包括:
用于使所述处理控制输入数据与所述新的处理控制输入数据之间的差别最小的装置。
41.根据权利要求35所述的装置,其中,所述用于沿着多个处理控制输出数据点确定所述处理控制输出数据与所述处理控制输入数据之间的函数关系的装置包括:
用于用由偏最小二乘法分析开发的处理模型建立所述关系的装置。
42.根据权利要求35所述的装置,其中,所述用于沿着多个处理控制输出数据点确定所述处理控制输出数据与所述处理控制输入数据之间的函数关系的装置包括:
用于用多输入多输出处理模型建立所述关系的装置。
43.根据权利要求42所述的装置,其中,所述用于用多输入多输出处理模型建立所述关系的装置包括:
用于建立以Y=f(X)+C为特征的多输入多输出处理模型的装置,其中,Y包括处理控制输出数据,X包括处理控制输入数据,C包括常数组,f()表示X的函数。
44.根据权利要求43所述的装置,其中,所述函数f()表示线性函数。
45.根据权利要求43所述的装置,其中,所述函数f()表示非线性函数。
46.根据权利要求35所述的装置,其中,所述用于设定用于所述半导体制造***中的所述处理的处理控制输入数据的装置包括:
用于为刻蚀处理设定所述处理控制输入数据的装置。
47.根据权利要求35所述的装置,其中,所述用于设定用于所述半导体制造***中的所述处理的处理控制输入数据的装置包括:
用于为下列至少其一设定所述处理控制输入数据的装置:射频功率、压力、流体流速、温度、转速和成分。
48.根据权利要求35所述的装置,其中,所述用于设定用于所述半导体制造***中的所述处理的处理控制输入数据的装置包括:
用于设定包括下列至少其一的所述处理控制输入数据的装置:射频功率、压力、C4F8流速、CO流速和O2流速。
49.根据权利要求35所述的装置,其中,所述用于测量来自所述半导体制造***中的所述处理的处理控制输出数据的装置包括:
用于测量包括下列至少其一的所述处理控制输出数据的装置:临界尺寸、坡度、轮廓、刻蚀速率、刻蚀深度、沉积速率和膜厚度。
50.根据权利要求49所述的装置,其中,所述用于测量来自所述半导体制造***中的所述处理的处理控制输出数据的装置包括:
用于测量下列至少其一的装置:沟槽顶部的临界尺寸、所述沟槽底部的临界尺寸、所述沟槽侧壁的坡度、触点顶部的临界尺寸、所述触点底部的临界尺寸以及所述触点侧壁的坡度。
51.根据权利要求35所述的装置,其中,用于计算新的处理控制输入数据的装置包括:
用于用Newton-Rhapson技术使所述差别最小化的装置。
CNB2005800039994A 2004-03-30 2005-02-01 用于多批次控制的方法和*** Active CN100530206C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/811,932 2004-03-30
US10/811,932 US7127358B2 (en) 2004-03-30 2004-03-30 Method and system for run-to-run control

Publications (2)

Publication Number Publication Date
CN1914618A CN1914618A (zh) 2007-02-14
CN100530206C true CN100530206C (zh) 2009-08-19

Family

ID=35055474

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800039994A Active CN100530206C (zh) 2004-03-30 2005-02-01 用于多批次控制的方法和***

Country Status (7)

Country Link
US (1) US7127358B2 (zh)
EP (1) EP1733325A4 (zh)
JP (1) JP4971132B2 (zh)
KR (1) KR101121809B1 (zh)
CN (1) CN100530206C (zh)
TW (1) TWI292111B (zh)
WO (1) WO2005103997A2 (zh)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8900498B2 (en) 2001-10-12 2014-12-02 Monosol Rx, Llc Process for manufacturing a resulting multi-layer pharmaceutical film
US20110033542A1 (en) 2009-08-07 2011-02-10 Monosol Rx, Llc Sublingual and buccal film compositions
US7357891B2 (en) 2001-10-12 2008-04-15 Monosol Rx, Llc Process for making an ingestible film
US20190328679A1 (en) 2001-10-12 2019-10-31 Aquestive Therapeutics, Inc. Uniform films for rapid-dissolve dosage form incorporating anti-tacking compositions
US11207805B2 (en) 2001-10-12 2021-12-28 Aquestive Therapeutics, Inc. Process for manufacturing a resulting pharmaceutical film
US20070281003A1 (en) 2001-10-12 2007-12-06 Fuisz Richard C Polymer-Based Films and Drug Delivery Systems Made Therefrom
US8603514B2 (en) 2002-04-11 2013-12-10 Monosol Rx, Llc Uniform films for rapid dissolve dosage form incorporating taste-masking compositions
US8765167B2 (en) 2001-10-12 2014-07-01 Monosol Rx, Llc Uniform films for rapid-dissolve dosage form incorporating anti-tacking compositions
US10285910B2 (en) 2001-10-12 2019-05-14 Aquestive Therapeutics, Inc. Sublingual and buccal film compositions
US8900497B2 (en) 2001-10-12 2014-12-02 Monosol Rx, Llc Process for making a film having a substantially uniform distribution of components
KR100909184B1 (ko) * 2004-03-11 2009-07-23 주식회사 동진쎄미켐 근적외선 분광기를 이용한 리쏘그래피 공정용 조성물의실시간 제어 시스템 및 제어 방법
US7309842B1 (en) * 2004-03-19 2007-12-18 Verionix Incorporated Shielded monolithic microplasma source for prevention of continuous thin film formation
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US7340374B2 (en) * 2005-02-25 2008-03-04 International Business Machines Corporation Determining fleet matching problem and root cause issue for measurement system
US7532999B2 (en) * 2005-02-25 2009-05-12 International Business Machines Corporation Determining root cause of matching problem and/or fleet measurement precision problem for measurement system
KR100744121B1 (ko) * 2006-01-11 2007-08-01 삼성전자주식회사 반도체 기판의 처리 방법
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP2007318036A (ja) * 2006-05-29 2007-12-06 Toshiba Corp 半導体製造装置管理システム、半導体製造装置の異常要因抽出方法及びその管理方法
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7725205B1 (en) 2006-09-21 2010-05-25 Novellus Systems, Inc. Apparatus and methods for providing a homogenous I/O interface for controlling a heterogenous mixture of hardware I/O systems
US20080233269A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Apparatus and methods for applying a layer of a spin-on material on a series of substrates
US20080248412A1 (en) * 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
DE102007017592B4 (de) * 2007-04-13 2011-11-24 Texas Instruments Deutschland Gmbh Verfahren zur Regelung eines Epitaxieaufwachsverfahrens in einem Epitaxiereaktor, Regler und Datenanlysemodul für Epitaxieaufwachsverfahren
US7720560B2 (en) * 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
JP4917527B2 (ja) * 2007-12-21 2012-04-18 東京エレクトロン株式会社 情報処理装置、情報処理方法、およびプログラム
ES2342958B2 (es) * 2008-09-03 2011-07-04 Emite Ingenieria Slne Analizador de multiples entradas y multiples salidas.
US8355810B2 (en) 2009-01-29 2013-01-15 Applied Materials, Inc. Method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
US8577488B2 (en) * 2010-02-11 2013-11-05 Monosol Rx, Llc Method and system for optimizing film production and minimizing film scrap
US9149959B2 (en) 2010-10-22 2015-10-06 Monosol Rx, Llc Manufacturing of small film strips
US20130046494A1 (en) * 2011-08-18 2013-02-21 General Electric Company Meter having a fiber optic interface
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US8917261B2 (en) * 2012-06-26 2014-12-23 Atmel Corporation Pixel occlusion mitigation
US10229843B2 (en) * 2012-10-31 2019-03-12 Tokyo Electron Limited Substrate processing apparatus and control device for substrate processing apparatus
US9711332B2 (en) * 2013-05-09 2017-07-18 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN104733277B (zh) * 2013-12-23 2017-03-08 中微半导体设备(上海)有限公司 等离子体刻蚀***
CN104733279B (zh) * 2013-12-23 2017-02-15 中微半导体设备(上海)有限公司 等离子体刻蚀***
EP2937747A1 (de) 2014-04-24 2015-10-28 Siemens Aktiengesellschaft Auf Modellierung einer Beizlinie beruhende Optimierung einer Sequenz von zu beizenden Bändern
KR20170051408A (ko) * 2014-07-03 2017-05-11 아틀라스 콥코 인더스트리얼 테크니크 에이비 작업 툴 네트워크 환경에서 통신을 용이하게 하기 위한 방법 및 시스템
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
CN104332435B (zh) * 2014-11-17 2017-07-21 北京七星华创电子股份有限公司 一种半导体工艺设备的参数调整方法
US10622197B2 (en) * 2015-07-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN105185680B (zh) * 2015-09-22 2017-10-03 上海华力微电子有限公司 一种电流检测装置及介质膜蚀刻装置
US11273131B2 (en) 2016-05-05 2022-03-15 Aquestive Therapeutics, Inc. Pharmaceutical compositions with enhanced permeation
CA3022840A1 (en) 2016-05-05 2017-11-09 Aquestive Therapeutics, Inc. Enhanced delivery epinephrine compositions
JP6991833B2 (ja) * 2017-10-31 2022-01-13 株式会社日立製作所 因果関係モデル構築システムおよび方法
US10763144B2 (en) 2018-03-01 2020-09-01 Verity Instruments, Inc. Adaptable-modular optical sensor based process control system, and method of operation thereof
TWI698728B (zh) * 2018-03-13 2020-07-11 日商住友重機械工業股份有限公司 用以支援製程控制之顯示裝置及顯示方法
SG11202009105YA (en) * 2018-03-20 2020-10-29 Tokyo Electron Ltd Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
SG11202010209PA (en) 2018-05-24 2020-12-30 Applied Materials Inc Virtual sensor for spatially resolved wafer temperature control
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
CN110931377B (zh) * 2018-09-20 2023-11-03 台湾积体电路制造股份有限公司 反射率测量***与方法
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
CN111109643B (zh) * 2019-12-12 2021-10-22 河南中烟工业有限责任公司 一种薄板烘丝的烟丝含水量的控制方法及***
JP2021180070A (ja) * 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理装置及びマイクロ波制御方法
US11587765B2 (en) * 2020-11-22 2023-02-21 Applied Materials, Inc. Plasma ignition optimization in semiconductor processing chambers
CN117194963B (zh) * 2023-11-02 2024-02-09 合肥喆塔科技有限公司 工业fdc质量根因分析方法、设备及存储介质
CN117954390B (zh) * 2024-03-21 2024-06-21 粤芯半导体技术股份有限公司 铜互连结构制备方法、装置、设备以及存储介质

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
SE9304246L (sv) * 1993-12-22 1995-06-23 Asea Brown Boveri Förfarande vid övervakning av multivariata processer
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
KR0160386B1 (ko) * 1995-10-13 1999-02-01 김광호 반도체소자 제조 공정의 제어 시스템 및 그 제어 방법
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6439964B1 (en) * 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
AU4733601A (en) * 2000-03-10 2001-09-24 Cyrano Sciences Inc Control for an industrial process using one or more multidimensional variables
US6622059B1 (en) 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
KR100824443B1 (ko) * 2000-09-15 2008-04-23 어드밴스드 마이크로 디바이시즈, 인코포레이티드 반도체 제조의 개선된 제어를 위한 적응성 샘플링 방법 및 장치
AU2003256257A1 (en) * 2002-06-28 2004-01-19 Tokyo Electron Limited Controlling a material processing tool and performance data
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Adaptive Optimization of Run-to-Run Controllers:The EWMAExample. Patel et al.IEEE Article,Vol.13 No.1. 2000
Adaptive Optimization of Run-to-Run Controllers:The EWMAExample. Patel et al.IEEE Article,Vol.13 No.1. 2000 *

Also Published As

Publication number Publication date
JP4971132B2 (ja) 2012-07-11
JP2007531305A (ja) 2007-11-01
US20050222781A1 (en) 2005-10-06
TW200606687A (en) 2006-02-16
US7127358B2 (en) 2006-10-24
TWI292111B (en) 2008-01-01
EP1733325A4 (en) 2007-12-26
EP1733325A2 (en) 2006-12-20
CN1914618A (zh) 2007-02-14
WO2005103997A2 (en) 2005-11-03
KR20070012340A (ko) 2007-01-25
WO2005103997A3 (en) 2005-12-29
KR101121809B1 (ko) 2012-03-20

Similar Documents

Publication Publication Date Title
CN100530206C (zh) 用于多批次控制的方法和***
US7328126B2 (en) Method and system of diagnosing a processing system using adaptive multivariate analysis
US8501499B2 (en) Adaptive recipe selector
EP1639632B1 (en) Method of monitoring a processing system
US7167766B2 (en) Controlling a material processing tool and performance data
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7894927B2 (en) Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
CN100511621C (zh) 用于刻蚀掩模的***和方法
US8048326B2 (en) Method and apparatus for determining an etch property using an endpoint signal
WO2021034842A1 (en) Synthetic wavelengths for endpoint detection in plasma etching
US6825920B2 (en) Method and system of determining chamber seasoning condition by optical emission

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant