AT520629B1 - Injektor aus Silizium für die Halbleiterindustrie - Google Patents

Injektor aus Silizium für die Halbleiterindustrie Download PDF

Info

Publication number
AT520629B1
AT520629B1 ATA146/2018A AT1462018A AT520629B1 AT 520629 B1 AT520629 B1 AT 520629B1 AT 1462018 A AT1462018 A AT 1462018A AT 520629 B1 AT520629 B1 AT 520629B1
Authority
AT
Austria
Prior art keywords
injector
channel
injector according
cross
tube
Prior art date
Application number
ATA146/2018A
Other languages
English (en)
Other versions
AT520629A4 (de
Inventor
Nadrag Walter
Nadrag Enrico
Binder Markus
Original Assignee
Sico Tech Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sico Tech Gmbh filed Critical Sico Tech Gmbh
Priority to ATA146/2018A priority Critical patent/AT520629B1/de
Priority to PCT/EP2019/062618 priority patent/WO2019224098A1/de
Priority to DE112019002606.2T priority patent/DE112019002606A5/de
Application granted granted Critical
Publication of AT520629B1 publication Critical patent/AT520629B1/de
Publication of AT520629A4 publication Critical patent/AT520629A4/de

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Compounds (AREA)

Abstract

Ein Injektor (1), der beim Herstellen von Halbleiterbauelementen zum Einleiten von Prozessgas in Kammern eingesetzt wird, besteht aus Silizium und weist einen Kanal (2) auf, der wenigstens einen erweiterten Bereich (3, 7, 10, 11, 24, 25) oder eine Verengung in Form einer ringförmigen Rippe (8) aufweist, so dass im Prozessgas enthaltende Partikel, die durch Absplitterungen von an den Wänden des Kanals (2) entstandenen Ablagerungen gebildet worden sind aus dem Prozessgas abgeschieden und im Injektor (1), insbesondere durch Anwachsen an die Innenfläche des Kanals (2), zurückgehalten werden und aus dem Injektor (1) nicht austreten.

Description

(19)
österreichisches
Patentamt (10) AT 520629 B1 2019-06-15 (12)
Patentschrift (21) Anmeldenummer: A 146/2018 (22) Anmeldetag: 22.05.2018 (45) Veröffentlicht am: 15.06.2019 (51) Int. CI.: C23C16/455 (2006.01)
(56) Entgegenhaltungen: (73) Patentinhaber:
WO 2017108714 A1 SICO Technology GmbH
EP 2407577 A2 US 5943471 A 9531 Bleiberg-Kreuth (AT)
US 2006185589A1 (72) Erfinder:
US 2008035055 A1 Nadrag Walter
US 2008286981 A1 9530 Bad Bleiberg 59 (AT)
US 2011274926A1 Nadrag Enrico 9530 Bad Bleiberg 157 (AT) Binder Markus 9521 Seespitz (AT)
(74) Vertreter: Beer & Partner Patentanwälte KG 1070 Wien (AT)
(54) Injektor aus Silizium für die Halbleiterindustrie
AT 520629 B1 2019-06-15 (57) Ein Injektor (1), der beim Herstellen von Halbleiterbauelementen zum Einleiten von Prozessgas in Kammern eingesetzt wird, besteht aus Silizium und weist einen Kanal (2) auf, der wenigstens einen erweiterten Bereich (3, 7, 10, 11, 24, 25) oder eine Verengung in Form einer ringförmigen Rippe (8) aufweist, so dass im Prozessgas enthaltende Partikel, die durch Absplitterungen von an den Wänden des Kanals (2) entstandenen Ablagerungen gebildet worden sind aus dem Prozessgas abgeschieden und im Injektor (1), insbesondere durch Anwachsen an die Innenfläche des Kanals (2), zurückgehalten werden und aus dem Injektor (1) nicht austreten.
Fig. 6
AT 520 629 B1 2019-06-15 österreichisches
Patentamt
Beschreibung [0001] Die Erfindung betrifft einen Injektor mit den Merkmalen des einleitenden Teils von Anspruch 1.
[0002] Beim Herstellen von Wafern werden Wafer in Halterungen (Boote) eingesetzt und in Behandlungsräume (Öfen) eingebracht, in denen sie mit Gas behandelt werden.
[0003] Das Gas, mit dem Wafer behandelt werden, wird in den Ofen über einen Injektor, der im Normalfall ein gebogenes oder gewinkeltes, mit Löchern versehenes Rohr aus Quarzglas ist, eingebracht.
[0004] Gase („Prozessgase), die zum Behandeln von Wafern verwendet werden, sind beispielsweise: ein Silan, beispielsweise Trichlorsilan, Siliziumtetrachlorid (SiCI4), Sauerstoff (O2), Wasserstoffperoxid (H2O2) oder Tetraethylorthosilikat (Si04C8H2o).
[0005] US 2006/0185589 A1 beschreibt einen Injektor aus Silizium für Gas, der beim thermischen Behandeln von Halbleiterwafern eingesetzt werden kann. Den Zeichnungen, beispielsweise Fig. 2 von US 2006/0185589 A1, ist zu entnehmen, dass der Injektor eine im Querschnitt kreisförmige Bohrung aufweist und aus Halbschalen gebildet ist. Die Außenform des Rohres ist beispielsweise rechteckig. Fig. 11 von US 2006/0185589 A1 ist zu entnehmen, dass das freie Ende des Rohres verschlossen ist und dass im Rohr Austrittsöffnungen vorgesehen sind. Bei US 2006/0185589 A1 ist der Injektor aus Halbschalen zusammengesetzt, was bei den Bedingungen, unter denen gattungsgemäße Injektoren eingesetzt werden, problematisch ist.
[0006] US 5,943,471 A befasst sich vornehmlich mit dem Verdampfen von Feststoffen für ein CVD-Verfahren. Die in US 5,943,471 A beschriebene Vorrichtung umfasst einen hohlen Bauteil, der mit einem Injektor verbunden ist, der mit einer Eingangsöffnung und einer Reaktionskammer, die das Substrat enthält, kommuniziert.
[0007] In US 5,943,471 A finden sich keine Angaben, aus welchem Werkstoff die Bestandteile der Vorrichtung für das CVD-Verfahren bestehen können.
[0008] US 2008/0286981 A1 befasst sich mit einem Verfahren zum Behandeln von Halbleiterwafern in einer Prozesskammer, wobei auf den Wafer in situ Titannitrid und Silizium abgeschieden wird. Hierzu sind bei den in den Fig. 4 und 5 von US 2008/0286981 A1 gezeigten Ausführungsformen in der Prozesskammer Injektoren vorgesehen, durch die Gas eingeleitet wird. Werkstoffe, aus welchen die Injektoren bestehen können, sind nicht geoffenbart. Fig. 8 von US 2008/0286981 A1 zeigt, dass Injektoren einen länglich-ovalen Querschnitt aufweisen können. In Fig. 7 ist auch gezeigt, dass die Injektoren seitliche Austrittsöffnungen aufweisen können. Solche Austrittsöffnungen sind auch in Fig. 8 gezeigt. US 2008/0286981 A1 enthält keine Angaben, aus welchem Werkstoff die Injektoren gefertigt sein können.
[0009] EP 0 582 444 A1 betrifft eine Vorrichtung für das CVD-Verfahren, mit der SiC hoher Reinheit hergestellt wird. Die Vorrichtung umfasst drei Injektorrohre, deren Konstruktion in Fig. 3 gezeigt ist. Fig. 3 von EP 0 582 444 A1 ist zu entnehmen, dass in den Injektorrohren drei konzentrische Rohre enthalten sind, die ringförmige Kanäle definieren. Ausschließlich der mittlere Kanal wird für das Zuführen von Gas in eine Kammer verwendet. Die äußeren Kanäle dienen für das Zirkulieren von Kühlmedium. Auch EP 0 582 444 A1 enthält keine Angaben über den Werkstoff, aus dem die Injektoren hergestellt sein können.
[0010] US 2011/0274926 A1 zeigt in Fig. 8 einen Injektor für die Silizium-Abscheidung aus der Gasphase (vapor deposition), der ein Rohr und eine Düse umfasst. Als Werkstoff für den Injektor ist u.a. Silizium erwähnt. Das Rohr des Injektors weist keine Austrittsöffnungen auf. Austrittsöffnungen sind ausschließlich in der Düse vorgesehen. Die Düse ist ein vom Rohr getrennter Bauteil, der am Ende des Rohres angebracht ist.
[0011] In EP 2 407 577 A2 ist eine Gaszuführung gezeigt, die in einem Kühlrohr zwei Gasleitungen für unterschiedliche Gase aufweist.
/7
AT 520 629 B1 2019-06-15 österreichisches
Patentamt [0012] US 2008/0035055 A1 zeigt in Fig. 2 und 3 einen Injektor mit rechteckigem Querschnitt und Gasaustrittsöffnungen.
[0013] Es kann beim Behandeln von Wafern mit einem Prozessgas vorkommen, dass wegen Reaktionen des Prozessgases oder wegen Reaktionen im Prozessgas, die auftreten können, während dieses durch den Injektor strömt, an der Wand des Kanals im Injektor Ablagerungen entstehen. Solche Ablagerungen können das erfolgreiche Behandeln von Wafern beeinträchtigen, wenn sie aus dem Injektor austreten und in den Behandlungsraum gelangen.
[0014] Problematisch bei den bekannten Injektoren aus Quarzglas ist es, dass Ablagerungen, die während des Behandlungsprozesses auf dem Injektor (aus Quarzglas) entstehen, aufgrund thermischer Spannungen absplittern und das ordnungsgemäße Herstellen von Wafern beeinträchtigen können.
[0015] Es entstehen durch das Absplittern nämlich Partikel (flakings), die in Prozessen der Halbleiterindustrie nicht erwünscht sind.
[0016] Der Erfindung liegt die Aufgabe zugrunde, einen Injektor zur Verfügung zu stellen, der die geschilderten Probleme nicht verursacht.
[0017] Gelöst wird diese Aufgabe erfindungsgemäß mit einem Injektor, der die Merkmale von Anspruch 1 aufweist.
[0018] Bevorzugte und vorteilhafte Ausgestaltungen des erfindungsgemäßen Injektors sind Gegenstand der Unteransprüche.
[0019] Dank der erfindungsgemäßen Ausbildung eines Injektors ergibt sich kein oder ein wenigstens verminderter Austritt von Partikeln aus dem Injektor.
[0020] Grund hierfür ist die erfindungsgemäß vorgesehene Maßnahme, im Kanal des Injektors Bereiche vorzusehen, deren Querschnittsfläche von der Querschnittsfläche in einem anderen Bereich des Kanals im Injektor abweicht, so dass sich Stellen im Injektor ergeben, in denen Ablagerungen bevorzugt als Beschichtung anwachsen können und Absplitterungen der Ablagerungen wenigstens weitgehend vermieden ist.
[0021] Ein erfindungsgemäßer Injektor besteht insbesondere aus Silizium, das denselben thermischen Ausdehnungsfaktor besitzt wie die entstehende Beschichtung, nämlich 2,6. Dagegen hat Siliziumkarbid einen thermischen Ausdehnungsfaktor von 4,8 und Quarz einen solchen von 0,5, so dass diese Werkstoffe für Injektoren weniger geeignet sind.
[0022] Der erfindungsgemäße Injektor kann ein- oder mehrstückig ausgebildet sein, wobei bei einer mehrstückigen Ausgestaltung verschiedene Verbindungsarten der Teile (Rohrstücke) des Injektors möglich sind. Beispielhaft werden genannt: Eine mechanische Verbindung, eine Verbindung über Verbindungsmuffen oder Hochtemperaturverklebungen.
[0023] Bei dem erfindungsgemäßen Injektor sind die Form der inneren Querschnitte des den Injektor bildenden Rohres und die Form der Auslassöffnungen des Injektors so ausgebildet, insbesondere darauf optimiert, die Geschwindigkeit des durch den Injektor strömenden Gases zu beeinflussen, insbesondere zu verringern, oder durch bestimmte erfindungsgemäße Ausgestaltungen der Innenflächen des in dem Injektor vorgesehenen Strömungskanals wenigstens einen Bereich zu schaffen, wo Ablagerungen anwachsen kann.
[0024] In einer Ausführungsform des erfindungsgemäßen Injektors ist darauf Bedacht genommen, dass allenfalls entstandene Partikel in Aussparungen in der Wand des Kanals oder durch eine besondere Formgebung des Kanals in dem den Injektor bildenden Rohr zurückgehalten werden.
[0025] Bei Ausführungsformen des erfindungsgemäßen Injektors können Formgebungen vorgesehen sein, die im durch den Kanal im Injektor strömenden Gas gezielt Verwirbelungen erzeugen.
[0026] Im Rahmen der Erfindung sind auch Formgebungen des Kanals in dem den Injektor
2/7
AT 520 629 B1 2019-06-15 österreichisches
Patentamt bildenden Rohr in Betracht gezogen, die den Effekt eines Zyklonabscheiders haben und Partikel aus dem Gasstrom abscheiden.
[0027] Nachstehend werden beispielhaft weitere Einzelheiten, Merkmale und Vorteile der Erfindung mit Bezugnahme auf die Zeichnungen beschrieben. Es zeigen:
[0028] Fig. 1 bis 7 in Längsschnitten Ausführungsformen von erfindungsgemäßen Injektoren,
[0029] Fig. 8 bis 12 [0030] Fig. 13 und 14 Austrittsöffnungen bei erfindungsgemäßen Injektoren und in Längsschnitten weitere erfindungsgemäße Injektoren.
[0031] Bei dem von einem Rohr aus Silizium gebildeten Injektor 1 von Fig. 1 wird durch eine trichterförmige Erweiterung 3 des Kanals 2, durch welchen das Gas strömt, die Geschwindigkeit, mit welcher das Gas strömt, am Ende 4 des Injektors 1 verringert, so dass sich allenfalls Partikel ergebene Ablagerungen an den Flächen 5 des erweiterten Bereichs 3 des Kanals 2 ansetzen können und somit aus dem Gasstrom ausgeschieden werden. Die Erweiterung 3 kann kegel-, trichter- oder parabeltrichterförmig sein.
[0032] Bei der in Fig. 2 gezeigten Ausführungsform des Injektors 1 ist das Ende des Kanals 2 mit Stufen 6 ausgebildet, so dass ein sich stufenförmig erweiternder Bereich 3 des Kanals 2 (Vergrößerung der Querschnittsfläche des Kanals 2) ergibt. Bei der in Fig. 2 vorgesehenen Ausführungsform des Injektors 1 bilden die Kanten der Stufen 6 Fallen für die allenfalls gebildete Partikel und stellen überdies Aufwachsorte für Lagerungen dar.
[0033] Bei der in Fig. 3 gezeigten Ausführungsform eines Injektors 1 ist eine bis zum Ende 4 des Injektors 1 reichende Erweiterung 7 vorgesehen, die in dem in Fig. 3 gezeigten Ausführungsbeispiel im Wesentlichen kugelförmig ist. Alternativ kann die Erweiterung 7 auch zylinderförmig ausgebildet und mit Abstand vom Ende 4 des Injektors 1 vorgesehen sein.
[0034] Fig. 4 zeigt eine Variante des Injektors 1 von Fig. 3 mit mehreren, im Beispiel drei, kugelförmigen Verwirbelungskammern in Form von Erweiterungen 7, wobei auch hier zylinderförmige Erweiterungen 7 als Verwirbelungskammern, wie die bei Fig. 3 erwähnten, vorgesehen sein können.
[0035] Bei der in Fig. 5 gezeigten Ausführungsform ist am Ende des Rohres, das den Injektor 1 bildet, eine Verengung 8 der Querschnittsfläche des Kanals 2 vorgesehen, die durch einen ringförmigen Vorsprung 9, der von der Wand des Kanals 2 nach innen ragt, gebildet ist. Der ringförmige Vorsprung 8 kann, wie in Fig. 5 gezeigt, eine abgerundete Querschnittsform aufweisen, kann aber auch kantig ausgebildet sein.
[0036] Bei der in Fig. 6 gezeigten Ausführungsform sind im Bereich des Endes 4 des Rohres, das den Injektor 1 bildet, mehrere ringförmige Nuten 10 vorgesehen. Bei dem in Fig. 6 gezeigten Ausführungsbeispiel nimmt die Tiefe der Nuten 10 zum Ende 4 des Rohres des Injektors 1 hin zu. In Betracht gezogen ist aber auch eine Ausführungsform, bei welcher die Tiefe aller oder einzelner Nuten 10 gleich groß ist. Diese Nuten 10 bilden zylinderförmige (scheibenförmige) Kammern, in denen sich allenfalls gebildete Partikel ansammeln und wo Ablagerungen anwachsen können, so dass Partikel mit dem Gas aus dem Injektor 1 nicht oder nur verringert austreten.
[0037] Bei der in Fig. 7 gezeigten Ausführungsform sind mit Abstand vom Ende 4 des Rohres, das den Injektor 1 bildet, Querschnittserweiterungen 11 vorgesehen, die als Fallen für Partikel wirken und erreichen, dass sich Ablagerungen dort abscheiden und ansammeln können. Die Querschnittserweiterungen 11 sind so ausgebildet, dass ihr stromabwärts (Strömungsrichtung des Gases Pfeil 12) liegendes Ende eine der Strömungrichtung entgegen gerichtete Nase 13 bildet.
[0038] Die Fig. 8, 9 und 10 zeigen drei verschiedene Varianten für Austrittsöffnungen 20 an dem Ende des Rohres, welches den Injektor 1 bildet. Die Austrittsöffnungen 20 können Löcher in Siliziumplatten (Fig. 8), Schlitze in Siliziumplatten (Fig. 9) oder ein Gitter ergebende Löcher
3/7
AT 520 629 B1 2019-06-15 österreichisches
Patentamt im Siliziumplatten (Fig. 10) sein.
[0039] Fig. 11 zeigt in Stirnsicht einen Injektor 1 mit mehreren, in einem Kreis angeordneten, Austrittöffnungen 20.
[0040] Fig. 12 zeigt einen Injektor 1 mit einem ringförmigen Austrittskanal 21.
[0041] In Fig. 13 ist eine Ausführungsform eines erfindungsgemäßen Injektors 1 gezeigt, der mehrere Austrittskanäle 22 aufweist, wobei im Kanal 2 Prallflächen 23 und Verwirbelungsräume vorgesehen sind.
[0042] In Fig. 14 ist ein Injektor 1 mit einem Kanal 2 gezeigt, der einen zyklonartigen Hohlraum aufweist, so dass in dem Bereich des zyklonartigen Hohlraums 25 Partikel abgeschieden werden, und aus dem einen Injektor 1 bildenden Rohr nicht austreten.
[0043] Zusammenfassend kann ein Ausführungsbeispiel der Erfindung wie folgt beschrieben werden:
[0044] Ein Injektor 1, der beim Herstellen von Halbleiterbauelementen zum Einleiten von Prozessgas in Kammern eingesetzt wird, besteht aus Silizium und weist einen Kanal 2 auf, der wenigstens einen erweiterten Bereich 3, 7, 10, 11, 24, 25 oder eine Verengung in Form einer ringförmigen Rippe 8 aufweist, so dass im Prozessgas enthaltende Partikel, die durch Absplitterungen von an den Wänden des Kanals 2 entstandenen Ablagerungen gebildet worden sind aus dem Prozessgas abgeschieden und im Injektor 1, insbesondere durch Anwachsen an die Innenfläche des Kanals 2, zurückgehalten werden und aus dem Injektor 1 nicht austreten.
4/7
AT 520 629 B1 2019-06-15 österreichisches

Claims (5)

Patentamt Patentansprüche
1. Injektor (1) für das Zuführen von Gas in eine Prozesskammer, umfassend ein Rohr mit einem Kanal (2) mit wenigstens einer Austrittöffnung (20, 21) für das Gas am Ende (4) des Injektors (1), wobei das als Injektor (1) dienende Rohr aus Silizium besteht, dadurch gekennzeichnet, dass der Kanal (2) in dem den Injektor (1) bildenden Rohr wenigstens einen Bereich mit einer Querschnittsfläche aufweist, die andere Abmessungen hat als die Querschnittsfläche des Kanals (2) in einem anderen Bereich.
2. Injektor nach Anspruch 1, dadurch gekennzeichnet, dass die Querschnittsfläche des Kanals (2) im Bereich des Endes (4) des den Injektor (1) bildenden Rohres größer ist.
3. Injektor nach Anspruch 1, dadurch gekennzeichnet, dass die Querschnittsfläche des Kanals (2) im Bereich des Endes (4) des den Injektor (1) bildenden Rohres kleiner ist.
4. Injektor nach Anspruch 2, dadurch gekennzeichnet, dass der Kanal (2) eine trichterförmige Erweiterung (3) aufweist.
5. Injektor nach Anspruch 4, dadurch gekennzeichnet, dass die Erweiterung (3) des Kanals (2) durch Stufen (6) gebildet ist.
6. Injektor nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass in dem Kanal (2) wenigstens eine im Wesentlichen kugelförmige Erweiterung (7) vorgesehen ist.
7. Injektor nach Anspruch 6, dadurch gekennzeichnet, dass mehrere kugelförmige Erweiterungen (7), die ineinander übergehen, vorgesehen sind.
8. Injektor nach Anspruch 1 oder 3, dadurch gekennzeichnet, dass am Ende (4) des den Injektor (1) bildenden Rohres eine den Querschnitt des Kanals (2) verringernde Ringrippe (8) vorgesehen ist, die zur Mitte des Kanals (2) hin vorsteht.
9. Injektor nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass im Bereich des Endes (4) des Kanals (2) wenigstens eine ringförmige Nut (10) vorgesehen ist.
10. Injektor nach Anspruch 9, dadurch gekennzeichnet, dass mit Abstand voneinander mehrere Nuten (10) vorgesehen sind.
11. Injektor nach Anspruch 10, dadurch gekennzeichnet, dass die Tiefe der Nuten (10) zum Ende (4) des den Injektor (1) bildenden Rohres hin zunimmt.
12. Injektor nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass im Kanal (2) wenigstens ein erweiterter Bereich (11) vorgesehen ist und dass die den Bereich (11) begrenzende Fläche mit einer der Richtung (Pfeil 12) der Gasströmung durch das den Injektor (1) bildenden Rohr entgegen gerichteten Nase (13) ausgebildet ist.
13. Injektor nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass im Bereich des Endes (4) des den Injektor (1) bildenden Rohres Prallflächen (23) und Verwirbelungsräume (24) vorgesehen sind.
14. Injektor nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet, dass im Kanal (2) ein zyklonartig wirkender Hohlraum (25) vorgesehen ist.
Hierzu 2 Blatt Zeichnungen
5/7
AT 520 629 B1 2019-06-15 österreichisches
Patentamt
ATA146/2018A 2018-05-22 2018-05-22 Injektor aus Silizium für die Halbleiterindustrie AT520629B1 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
ATA146/2018A AT520629B1 (de) 2018-05-22 2018-05-22 Injektor aus Silizium für die Halbleiterindustrie
PCT/EP2019/062618 WO2019224098A1 (de) 2018-05-22 2019-05-16 Injektor aus silizium für die halbleiterindustrie
DE112019002606.2T DE112019002606A5 (de) 2018-05-22 2019-05-16 Injektor aus silizium für die halbleiterindustrie

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
ATA146/2018A AT520629B1 (de) 2018-05-22 2018-05-22 Injektor aus Silizium für die Halbleiterindustrie

Publications (2)

Publication Number Publication Date
AT520629B1 true AT520629B1 (de) 2019-06-15
AT520629A4 AT520629A4 (de) 2019-06-15

Family

ID=66625177

Family Applications (1)

Application Number Title Priority Date Filing Date
ATA146/2018A AT520629B1 (de) 2018-05-22 2018-05-22 Injektor aus Silizium für die Halbleiterindustrie

Country Status (3)

Country Link
AT (1) AT520629B1 (de)
DE (1) DE112019002606A5 (de)
WO (1) WO2019224098A1 (de)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12043899B2 (en) 2022-06-15 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943471A (en) * 1996-03-27 1999-08-24 Micron Technology, Inc. Solid precursor injector apparatus and method
US20060185589A1 (en) * 2005-02-23 2006-08-24 Raanan Zehavi Silicon gas injector and method of making
US20080035055A1 (en) * 2006-08-08 2008-02-14 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US20080286981A1 (en) * 2007-05-14 2008-11-20 Asm International N.V. In situ silicon and titanium nitride deposition
US20110274926A1 (en) * 2009-02-27 2011-11-10 Hiroyuki Oda Polycrystalline silicon rod and apparatus for producing the same
EP2407577A2 (de) * 2010-07-12 2012-01-18 Samsung LED Co., Ltd. Chemische Dampfablagerungsvorrichtung
WO2017108714A1 (de) * 2015-12-22 2017-06-29 Sico Technology Gmbh Injektor aus silizium für die halbleiterindustrie

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2099788A1 (en) 1992-07-31 1994-02-01 Michael A. Pickering Ultra pure silicon carbide and high temperature semiconductor processing equipment made therefrom
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943471A (en) * 1996-03-27 1999-08-24 Micron Technology, Inc. Solid precursor injector apparatus and method
US20060185589A1 (en) * 2005-02-23 2006-08-24 Raanan Zehavi Silicon gas injector and method of making
US20080035055A1 (en) * 2006-08-08 2008-02-14 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US20080286981A1 (en) * 2007-05-14 2008-11-20 Asm International N.V. In situ silicon and titanium nitride deposition
US20110274926A1 (en) * 2009-02-27 2011-11-10 Hiroyuki Oda Polycrystalline silicon rod and apparatus for producing the same
EP2407577A2 (de) * 2010-07-12 2012-01-18 Samsung LED Co., Ltd. Chemische Dampfablagerungsvorrichtung
WO2017108714A1 (de) * 2015-12-22 2017-06-29 Sico Technology Gmbh Injektor aus silizium für die halbleiterindustrie

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12051567B2 (en) 2021-10-04 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US12043899B2 (en) 2022-06-15 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process

Also Published As

Publication number Publication date
WO2019224098A1 (de) 2019-11-28
DE112019002606A5 (de) 2021-06-24
AT520629A4 (de) 2019-06-15

Similar Documents

Publication Publication Date Title
AT520629B1 (de) Injektor aus Silizium für die Halbleiterindustrie
AT518081B1 (de) Injektor aus Silizium für die Halbleiterindustrie
DE3147864C2 (de) Abwärmekessel für die Kühlung von Synthesegas
DE102018128319A1 (de) Abscheidungsvorrichtung mit oberem Showerhead und unterem Showerhead
EP3862065A1 (de) Anschlussvorrichtung, abreinigungsvorrichtung, filtersystem und anlage
EP2792985B1 (de) Sintervorrichtung
DE102018130139A1 (de) Gaseinlassvorrichtung für einen CVD-Reaktor
DE2744042A1 (de) Waermetauscher zur thermischen behandlung von feinkoernigem gut
DE19859466C2 (de) Vorrichtung und Verfahren zum Behandeln von Substraten
DE3909161A1 (de) Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
DE3036448C2 (de)
DE69522153T2 (de) Staubsammelapparat
WO2019148229A1 (de) Kondensationspartikelzähler mit düsenvorrichtung
DE102010063843A1 (de) Dunstabzugshaube und Verfahren zum Abscheiden von Aerosolen
DE2125025C3 (de) Vorrichtung zur Behandlung von Abwasser
DE102020128924A1 (de) Beschichtungsvorrichtung zur Herstellung einer Beschichtung auf einem Wabenkörper einer Abgasreinigungseinrichtung sowie Verfahren zum Betreiben einer Beschichtungsvorrichtung
DE1240041B (de) Duesen-gaswascher
DE102019121953A1 (de) Druckreduziereinheit
WO2019148228A1 (de) Kondensationspartikelzähler mit sättiger
DE505370C (de) Verfahren und Vorrichtung zur Verschwelung gepulverter Kohle
DE102020133184B4 (de) Verfahren zum Betreiben einer Beschichtungsvorrichtung zum Herstellen einer Beschichtung auf einem Wabenkörper einer Abgasreinigungseinrichtung
DE102005042056A1 (de) Filterelement und Rußfilter mit reduzierten Temperaturspannungen
DE202005017110U1 (de) Steigrohr für einen Dosierofen
EP1347155B1 (de) Nachschalldämpfer
DE718069C (de) Klaervorrichtung fuer Fluessigkeiten