WO2023043504A1 - Field effect transistors with gate fins and method of making the same - Google Patents

Field effect transistors with gate fins and method of making the same Download PDF

Info

Publication number
WO2023043504A1
WO2023043504A1 PCT/US2022/028601 US2022028601W WO2023043504A1 WO 2023043504 A1 WO2023043504 A1 WO 2023043504A1 US 2022028601 W US2022028601 W US 2022028601W WO 2023043504 A1 WO2023043504 A1 WO 2023043504A1
Authority
WO
WIPO (PCT)
Prior art keywords
gate electrode
region
gate
semiconductor
horizontal direction
Prior art date
Application number
PCT/US2022/028601
Other languages
French (fr)
Inventor
Srinivas Pulugurtha
Yanli Zhang
Johann Alsmeier
Mitsuhiro Togo
Takashi Kobayashi
Sudarshan Narayanan
Original Assignee
Sandisk Technologies Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/474,699 external-priority patent/US11967626B2/en
Priority claimed from US17/474,760 external-priority patent/US20230083560A1/en
Priority claimed from US17/562,635 external-priority patent/US20230082824A1/en
Application filed by Sandisk Technologies Llc filed Critical Sandisk Technologies Llc
Priority to CN202280050021.7A priority Critical patent/CN117652216A/en
Publication of WO2023043504A1 publication Critical patent/WO2023043504A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Definitions

  • the present disclosure relates generally to the field of semiconductor devices and specifically to field effect transistors including gate fins and methods of making the same.
  • LDD low doped drain
  • a semiconductor structure which comprises: a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a transistor active region that is a portion of the semiconductor substrate; and a field effect transistor comprising: at least one line trench vertically extending from a planar top surface of the transistor active region into the semiconductor substrate; a channel region comprising a portion of the transistor active region that laterally surrounds or underlies the at least one line trench; a gate dielectric contacting all surfaces of the at least one line trench and comprising a planar gate dielectric portion that extends over a top surface of the channel region; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a source region and a drain region located in the transistor active region and laterally spaced from each other by the channel region.
  • a method of forming a transistor comprises: forming a shallow trench isolation structure in an upper portion of a semiconductor substrate, wherein the shallow trench isolation structure laterally surrounds a transistor active region; forming at least one line trench in the transistor active region, wherein the at least one trench laterally extends from a first sidewall of the shallow trench isolation structure and to a second sidewall of the shallow trench isolation structure; forming a gate dielectric layer in the at least one line trench and over a top surface of the transistor active region, wherein the gate dielectric layer contacts all surfaces of the at least one line trench; forming a gate electrode over the gate dielectric layer, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within the at least one line trench; and forming a source region and a drain region in portions of the transistor active region that are laterally spaced from each other by the at least one line trench.
  • a semiconductor structure which comprises: a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a first transistor active region that is a portion of the semiconductor substrate; and a first field effect transistor that comprises: at least one line trench extending downward from a top surface of the first transistor active region, laterally extending along a first horizontal direction, and located within the transistor active region; a channel region comprising a portion of the first transistor active region that laterally surrounds or underlies the at least one line trench; a gate dielectric contacting all surfaces of the at least one line trench and comprising a planar gate dielectric portion that extends over an entirety of a top surface of the channel region; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within a respective one of the at least one line trench; and a source region and a drain region located in the semiconductor substrate and laterally spaced from each other by the channel region.
  • a method of forming a semiconductor structure comprises: forming at least one line trench in a transistor active region of a semiconductor substrate; forming a gate dielectric in the at least one line trench and over a top surface of the transistor active region, wherein the gate dielectric contacts all surfaces of the at least one line trench; forming a gate electrode over the gate dielectric, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within a respective one of the at least one line trench; and forming a source region and a drain region in portions of the transistor active region that are laterally spaced from each other by a channel region in a plan view.
  • a field effect transistor comprises: at least one line trench extending downward from a top surface of a first transistor active region of a substrate, and laterally extending along a first horizontal direction; a gate dielectric contacting a bottom surface and sidewalls of each of the at least one line trench and comprising a planar gate dielectric portion overlying the substrate; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a dielectric gate spacer laterally surrounding the gate electrode and comprising at least one pair of downward-protruding portions vertically extending into the at least one line trench.
  • a method of forming a field effect transistor comprises: forming at least one line trench in a transistor active region in a semiconductor substrate; forming a gate dielectric in the at least one line trench and over a top surface of the semiconductor substrate; forming a gate electrode over the gate dielectric, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within a center portion of the at least one line trench; and forming a dielectric gate spacer around the gate electrode, wherein the dielectric gate spacer comprises downward-protruding portions vertically extending into a respective end portion of the at least one line trench.
  • FIG. 1 A is a vertical cross-sectional view of a first exemplary structure after formation of line trenches in a substrate according to a first embodiment of the present disclosure.
  • FIG. IB is a top-down view of the first exemplary structure of FIG. 1A.
  • FIG. 2A is a vertical cross-sectional view of the first exemplary structure after formation of a first gate dielectric layer, a first semiconductor gate electrode material layer, a first silicon oxide capping layer, and a first silicon nitride capping layer according to the first embodiment of the present disclosure.
  • FIG. 2B is a top-down view of the first exemplary structure of FIG. 2A.
  • FIG. 3 A is a vertical cross-sectional view of the first exemplary structure after patterning the first silicon nitride capping layer, the first silicon oxide capping layer, the first semiconductor gate electrode material layer, and the first gate dielectric layer according to the first embodiment of the present disclosure.
  • FIG. 3B is a top-down view of the first exemplary structure of FIG. 3 A.
  • FIG. 4A is a vertical cross-sectional view of the first exemplary structure after formation of additional gate dielectric layers, a second semiconductor gate electrode material layer, a second silicon oxide capping layer, and a second silicon nitride capping layer according to the first embodiment of the present disclosure.
  • FIG. 4B is a top-down view of the first exemplary structure of FIG. 4A.
  • FIG. 5A is a vertical cross-sectional view of the first exemplary structure after patterning the second silicon nitride capping layer and the second silicon oxide capping layer according to the first embodiment of the present disclosure.
  • FIG. 5B is a top-down view of the first exemplary structure of FIG. 5 A.
  • FIG. 6A is a vertical cross-sectional view of the first exemplary structure after formation of shallow trenches according to the first embodiment of the present disclosure.
  • FIG. 6B is a top-down view of the first exemplary structure of FIG. 6A.
  • FIG. 7A is a vertical cross-sectional view of the first exemplary structure after deposition of a dielectric fill material layer according to the first embodiment of the present disclosure.
  • FIG. 7B is a top-down view of the first exemplary structure of FIG. 7A.
  • FIG. 8A is a vertical cross-sectional view of the first exemplary structure after deposition of a shallow trench isolation structure according to the first embodiment of the present disclosure.
  • FIG. 8B is a top-down view of the first exemplary structure of FIG. 8 A.
  • FIG. 9A is a vertical cross-sectional view of the first exemplary structure after removal of the silicon nitride capping layers and the silicon oxide capping layers according to the first embodiment of the present disclosure.
  • FIG. 9B is a top-down view of the first exemplary structure of FIG. 9A.
  • FIG. 10A is a vertical cross-sectional view of the first exemplary structure after formation of a metallic gate electrode material layer and a gate capping dielectric layer according to the first embodiment of the present disclosure.
  • FIG. 10B is a top-down view of the first exemplary structure of FIG. 10A.
  • FIG. 11 A is a vertical cross-sectional view of the first exemplary structure after formation of gate stacks and source/drain extension regions according to the first embodiment of the present disclosure.
  • FIG. 1 IB is a top-down view of the first exemplary structure of FIG. 11 A.
  • FIG. 11C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C - C’ of FIG. 1 IB.
  • FIG. 1 ID is a vertical cross-sectional view of the first exemplary structure along the vertical plane D - D’ of FIG. 1 IB.
  • FIG. 12A is a vertical cross-sectional view of the first exemplary structure after formation of dielectric gate spacers and deep source/drain regions according to the first embodiment of the present disclosure.
  • FIG. 12B is a top-down view of the first exemplary structure of FIG. 12A.
  • FIG. 12C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C - C’ of FIG. 12B.
  • FIG. 12D is a vertical cross-sectional view of the first exemplary structure along the vertical plane D - D’ of FIG. 12B.
  • FIG. 13 A is a vertical cross-sectional view of the first exemplary structure after formation of contact via structures according to the first embodiment of the present disclosure.
  • FIG. 13B is a top-down view of the first exemplary structure of FIG. 13 A.
  • FIG. 13C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C - C’ of FIG. 13B.
  • FIG. 13D is a vertical cross-sectional view of the first exemplary structure along the vertical plane D - D’ of FIG. 13B.
  • FIG. 13E is a vertical cross-sectional view of the first exemplary structure along the vertical plane E - E’ of FIG. 13B.
  • FIG. 13F is a vertical cross-sectional view of the first exemplary structure along the vertical plane F - F’ of FIG. 13B.
  • FIG. 13G is a vertical cross-sectional view of the first exemplary structure along the vertical plane G - G’ of FIG. 13B.
  • FIG. 13H is a vertical cross-sectional view of the first exemplary structure along the vertical plane H - EF of FIG. 13B.
  • FIG. 14A is a vertical cross-sectional view of a second exemplary structure after formation of line trenches in a substrate according to a second embodiment of the present disclosure.
  • FIG. 14B is a top-down view of the second exemplary structure of FIG. 1 A.
  • FIG. 15A is a vertical cross-sectional view of the second exemplary structure after formation of a first gate dielectric layer, a first semiconductor gate electrode material layer, a first silicon oxide capping layer, and a first silicon nitride capping layer according to the second embodiment of the present disclosure.
  • FIG. 15B is a top-down view of the second exemplary structure of FIG. 15 A.
  • FIG. 16A is a vertical cross-sectional view of the second exemplary structure after formation of additional gate dielectric layers, a second semiconductor gate electrode material layer, a second silicon oxide capping layer, and a second silicon nitride capping layer according to the second embodiment of the present disclosure.
  • FIG. 16B is a top-down view of the second exemplary structure of FIG. 16A.
  • FIG. 17A is a vertical cross-sectional view of the second exemplary structure after deposition of a shallow trench isolation structure according to the second embodiment of the present disclosure.
  • FIG. 17B is a top-down view of the second exemplary structure of FIG. 17A.
  • FIG. 18A is a vertical cross-sectional view of the second exemplary structure after formation of a metallic gate electrode material layer and a gate capping dielectric layer according to the second embodiment of the present disclosure.
  • FIG. 18B is a top-down view of the second exemplary structure of FIG. 18 A.
  • FIG. 19A is a vertical cross-sectional view of the second exemplary structure after formation of gate stacks according to the second embodiment of the present disclosure.
  • FIG. 19B is a top-down view of the second exemplary structure of FIG. 19A.
  • FIG. 19C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 19B.
  • FIG. 19D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 19B.
  • FIG. 19E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 19B.
  • FIG. 19F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 19B.
  • FIG. 19G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 19B.
  • FIG. 19H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - EF of FIG. 19B.
  • FIG. 20A is a vertical cross-sectional view of the second exemplary structure after formation of source/drain extension regions according to the second embodiment of the present disclosure.
  • FIG. 20B is a top-down view of the second exemplary structure of FIG. 20A.
  • FIG. 20C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 20B.
  • FIG. 20D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 20B.
  • FIG. 20E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 20B.
  • FIG. 20F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 20B.
  • FIG. 20G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 20B.
  • FIG. 20H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - H’ of FIG. 20B.
  • FIG. 21 A is a vertical cross-sectional view of the second exemplary structure after formation of dielectric gate spacers and deep source/drain regions according to the second embodiment of the present disclosure.
  • FIG. 21B is a top-down view of the second exemplary structure of FIG. 21 A.
  • FIG. 21C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 2 IB.
  • FIG. 2 ID is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 21B.
  • FIG. 2 IE is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 21B.
  • FIG. 2 IF is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 21B.
  • FIG. 21G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 21B.
  • FIG. 21H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - EF of FIG. 21B.
  • FIG. 22A is a vertical cross-sectional view of the second exemplary structure after formation of contact via structures according to the second embodiment of the present disclosure.
  • FIG. 22B is a top-down view of the second exemplary structure of FIG. 22A.
  • FIG. 22C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 22B.
  • FIG. 22D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 22B.
  • FIG. 22E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 22B.
  • FIG. 22F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 22B.
  • FIG. 22G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 22B.
  • FIG. 22H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - FF of FIG. 22B.
  • FIG. 23 A is a vertical cross-sectional view of an alternative embodiment of the second exemplary structure after formation of contact via structures according to the second embodiment of the present disclosure.
  • FIG. 23B is a top-down view of the second exemplary structure of FIG. 23 A.
  • FIG. 23C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 23B.
  • FIG. 23D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 23B.
  • FIG. 23E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 23B.
  • FIG. 23F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 23B.
  • FIG. 23G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 23B.
  • FIG. 23H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - EF of FIG. 23B.
  • FIG. 24 is a vertical cross-sectional view of another alternative embodiment of the second exemplary structure after formation of contact via structures according to the second embodiment of the present disclosure.
  • FIG. 25A is a vertical cross-sectional view of a third exemplary structure after formation of a shallow trench isolation structure according to a third embodiment of the present disclosure.
  • FIG. 25B is a top-down view of the third exemplary structure of FIG. 25 A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 25 A.
  • FIGS. 25C - 25E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 25B, respectively.
  • FIG. 26A is a vertical cross-sectional view of the third exemplary structure after formation of line trenches according to the third embodiment of the present disclosure.
  • FIG. 26B is a top-down view of the third exemplary structure of FIG. 26A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 26A.
  • FIGS. 26C - 26E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 26B, respectively.
  • FIG. 27A is a vertical cross-sectional view of the third exemplary structure after formation of a gate dielectric layer according to the third embodiment of the present disclosure.
  • FIG. 27B is a top-down view of the third exemplary structure of FIG. 27A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 27A.
  • FIGS. 27C - 27E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 27B, respectively.
  • FIG. 28A is a vertical cross-sectional view of the third exemplary structure after formation of gate electrode material layers and a gate cap dielectric layer according to the third embodiment of the present disclosure.
  • FIG. 28B is a top-down view of the third exemplary structure of FIG. 28 A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 28 A.
  • FIGS. 28C - 28E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 28B, respectively.
  • FIG. 29A is a vertical cross-sectional view of the third exemplary structure after formation of source/drain extension regions according to the third embodiment of the present disclosure.
  • FIG. 29B is a top-down view of the third exemplary structure of FIG. 29A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 29A.
  • FIGS. 29C - 29E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 29B, respectively.
  • FIG. 30A is a vertical cross-sectional view of the third exemplary structure after formation of dielectric gate spacers and deep source/drain regions according to the third embodiment of the present disclosure.
  • FIG. 30B is a top-down view of the third exemplary structure of FIG. 30A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 30A.
  • FIGS. 30C - 30E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 30B, respectively.
  • FIG. 31 A is a vertical cross-sectional view of the third exemplary structure after formation of a contact-level dielectric layer and contact via structures according to the third embodiment of the present disclosure.
  • FIG. 3 IB is a top-down view of the third exemplary structure of FIG. 31 A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 31 A.
  • FIGS. 31C - 3 IE are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 3 IB, respectively.
  • FIG. 32A is a vertical cross-sectional view of a first alternative embodiment of the third exemplary structure after formation of a contact-level dielectric layer and contact via structures according to the third embodiment of the present disclosure.
  • FIG. 32B is a top-down view of the third exemplary structure of FIG. 32A.
  • the plane A - A’ is the plane of the vertical cross-sectional view of FIG. 32A.
  • FIGS. 32C - 32E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 32B, respectively.
  • FIG. 33 is a vertical cross-sectional view of a second alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure.
  • FIG. 34 is a vertical cross-sectional view of a third alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure.
  • Embodiments of the present disclosure are directed to field effect transistors including gate fins and methods of making the same, the various aspects of which are now described in detail.
  • a “contact” between elements refers to a direct contact between elements that provides an edge or a surface shared by the elements. If two or more elements are not in direct contact with each other or among one another, the two elements are “disjoined from” each other or “disjoined among” one another.
  • a first element located “on” a second element can be located on the exterior side of a surface of the second element or on the interior side of the second element.
  • a first element is located “directly on” a second element if there exist a physical contact between a surface of the first element and a surface of the second element.
  • a first element is “electrically connected to” a second element if there exists a conductive path consisting of at least one conductive material between the first element and the second element.
  • a “prototype” structure or an “in-process” structure refers to a transient structure that is subsequently modified in the shape or composition of at least one component therein.
  • a “layer” refers to a material portion including a region having a thickness.
  • a layer may extend over the entirety of an underlying or overlying structure, or may have an extent less than the extent of an underlying or overlying structure. Further, a layer may be a region of a homogeneous or inhomogeneous continuous structure that has a thickness less than the thickness of the continuous structure. For example, a layer may be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure. A layer may extend horizontally, vertically, and/or along a tapered surface.
  • a substrate may be a layer, may include one or more layers therein, or may have one or more layer thereupon, thereabove, and/or therebelow.
  • a “layer stack” refers to a stack of layers.
  • a “line” or a “line structure” refers to a layer that has a predominant direction of extension, i.e., having a direction along which the layer extends the most.
  • a “semiconducting material” refers to a material having electrical conductivity in the range from 1.0 x 10' 6 S/cm to 1.0 x 10 5 S/cm.
  • a “semiconductor material” refers to a material having electrical conductivity in the range from 1.0 x 10' 6 S/cm to 1.0 x 10 5 S/cm in the absence of electrical dopants therein, and is capable of producing a doped material having electrical conductivity in a range from 1.0 S/cm to 1.0 x 10 5 S/cm upon suitable doping with an electrical dopant.
  • an “electrical dopant” refers to a p-type dopant that adds a hole to a valence band within a band structure, or an n-type dopant that adds an electron to a conduction band within a band structure.
  • a “conductive material” refers to a material having electrical conductivity greater than 1.0 x 10 5 S/cm.
  • an “insulator material”, “insulating material” or a “dielectric material” refers to a material having electrical conductivity less than 1.0 x 10' 6 S/cm.
  • a “heavily doped semiconductor material” refers to a semiconductor material that is doped with electrical dopant at a sufficiently high atomic concentration to become a conductive material, i.e., to have electrical conductivity greater than 1.0 x 10 5 S/cm.
  • a “doped semiconductor material” may be a heavily doped semiconductor material, or may be a semiconductor material that includes electrical dopants (i.e., p-type dopants and/or n-type dopants) at a concentration that provides electrical conductivity in the range from 1.0 x 10' 6 S/cm to 1.0 x 10 5 S/cm.
  • An “intrinsic semiconductor material” refers to a semiconductor material that is not doped with electrical dopants.
  • a semiconductor material may be semiconducting or conductive, and may be an intrinsic semiconductor material or a doped semiconductor material.
  • a doped semiconductor material can be semiconducting or conductive depending on the atomic concentration of electrical dopants therein.
  • a “metallic material” refers to a conductive material including at least one metallic element therein. All measurements for electrical conductivities are made at the standard condition.
  • a “field effect transistor” refers to any semiconductor device having a semiconductor channel through which electrical current flows with a current density modulated by an external electrical field.
  • a “channel region” refers to a semiconductor region in which mobility of charge carriers is affected by an applied electrical field.
  • a “gate electrode” refers to a conductive material portion that controls electron mobility in the channel region by application of an electrical field.
  • a “deep source region” refers to a doped semiconductor region that supplies charge carriers that flow through the channel region.
  • a “deep drain region” refers to a doped semiconductor region that receives charge carriers supplied by the source region and passes through the channel region.
  • a “deep source/drain region” refers to a deep source region of a field effect transistor or a deep drain region of a field effect transistor.
  • a “source extension region” refers to a doped semiconductor region having a lesser dopant concentration than, and having a same type of doping as, a source region and including a portion disposed between the deep source region and the channel region.
  • a “drain extension region” refers to a doped semiconductor region having a lesser dopant concentration than, and having a same type of doping as, a deep drain region and including a portion disposed between the drain region and the channel region.
  • a “source/drain extension region” refers to a source extension region or a drain extension region.
  • a source region refers to a deep source region and any source extension region that is adjoined to the deep source region.
  • a drain region refers to a deep drain region and any drain extension region that is adjoined to the deep source region.
  • a source/drain region refers to a source region or a drain region.
  • the first exemplary structure may include plural device regions, which can include, for example, a first device region A, a second device region B, a third device region C, and a fourth device region D located over a semiconductor substrate 8.
  • a “semiconductor substrate” refers to a substrate that includes at least one semiconductor material portion, i.e., at least one portion of a semiconductor material.
  • a field effect transistor including at least one gate fin and a thick gate dielectric may be formed in the first device region A, a planar field effect transistor including a thick gate dielectric may be formed in the second device region B, a planar field effect transistor including a medium thickness gate dielectric may be formed in the third device region C, and a planar field effect transistor including a thin gate dielectric may be formed in the fourth device region D.
  • four device regions A - D may comprise peripheral (i.e., driver) transistor regions of a driver circuit of a three dimensional memory device, such as a three dimensional NAND memory device containing a plurality of vertical NAND strings.
  • the memory device may be grown layer by layer over the driver circuit or may be formed on a separate memory substrate and then bonded to the driver circuit.
  • the first device region A may comprise a word line switching region containing word line switching transistors which are configured to switch (i.e., turn on and off) the word lines of the memory device.
  • the second through fourth device regions B - D may comprise bit line driver circuit and other peripheral transistors, such as sense amplifier transistors.
  • the second device region B may comprise a high voltage transistor region
  • the third device region C may comprise a low voltage transistor region
  • the fourth region D may comprise a very low voltage device region.
  • each of the four device regions A - D contains a plurality of transistors of the same or different conductivity types.
  • the third and fourth regions C and D may include p-type and n-type transistors in a CMOS configuration.
  • formation of CMOS devices is omitted from the description below for simplicity.
  • Each of the device regions (A, B, C, D) includes a respective portion of the semiconductor substrate 8, which is herein referred to as a respective transistor active region.
  • a source region, a channel region, and a drain region can be formed within each transistor active region.
  • the semiconductor substrate 8 includes a semiconductor material layer 10.
  • the semiconductor substrate 8 may optionally include at least one additional material layer at a bottom portion thereof.
  • the semiconductor substrate 8 can be a bulk semiconductor substrate consisting of the semiconductor material layer 10 (e.g., single crystal silicon wafer), or can be a semiconductor-on-insulator (SOI) substrate including a buried insulator layer (such as a silicon oxide layer) underlying the semiconductor material layer 10, and a handle substrate underlying the buried insulator layer.
  • SOI semiconductor-on-insulator
  • the semiconductor material layer 10 may comprise an epitaxial semiconductor (e.g., single crystal silicon) layer deposited on a semiconductor substrate (e.g., silicon wafer) 8 or may comprise a doped well (e.g., doped silicon well) in the upper portions of the semiconductor substate (e.g., silicon wafer) 8.
  • an epitaxial semiconductor e.g., single crystal silicon
  • a semiconductor substrate e.g., silicon wafer
  • a doped well e.g., doped silicon well
  • the semiconductor material layer 10 can include a lightly doped semiconductor material portion (e.g., silicon portion) on which at least one field effect transistor can be formed.
  • the entirety of the semiconductor material in the semiconductor material layer 10 may include the lightly doped semiconductor material.
  • the lightly doped semiconductor material can be a semiconductor well embedded within another semiconductor material having a different dopant concentration and optionally, a doping of the opposite conductivity type. The dopant concentration of the lightly doped semiconductor material portion may be optimized for a body region of the at least one field effect transistor to be subsequently formed.
  • the lightly doped semiconductor material portion may include electrical dopants at an atomic concentration in a range from 1.0 x 10 14 /cm 3 to 1.0 x 10 18 /cm 3 , such as from 1.0 x 10 15 /cm 3 to 1.0 x 10 17 /cm 3 , although lesser and greater atomic concentrations can also be employed.
  • the conductivity type of the portion of the semiconductor material layer 10 to be subsequently employed as a body region of a field effect transistor is herein referred to as a first conductivity type, which may be p-type for an n-type field effect transistor or n-type for a p-type field effect transistor.
  • the semiconductor material of the semiconductor material layer 10 can be an elemental semiconductor material (such as silicon) or an alloy of at least two elemental semiconductor materials (such as a silicon-germanium alloy), or can be a compound semiconductor material (such as a III-V compound semiconductor material or a II- VI compound semiconductor material), or can be an organic semiconductor material.
  • the thickness of the semiconductor material layer 10 can be in a range from 0.5 mm to 2 mm in case the semiconductor material layer 10 is a bulk semiconductor substrate. In case the semiconductor material layer 10 is a semiconductor-on-insulator substrate, the thickness of the top semiconductor material layer within the semiconductor material layer 10 may be in a range from 100 nm to 1,000 nm, although lesser and greater thicknesses can also be employed.
  • a photoresist layer (not shown) can be applied over the semiconductor substrate 8, and can be patterned by lithographic exposure and development.
  • the pattern of the openings in the photoresist layer can include at least one rectangular opening, such as a plurality of openings (e.g., 2 to 8, such as 4 to 6 openings) located within the first device region A.
  • Each of the openings may have a pair of lengthwise edges that laterally extend along a first horizontal direction hdl and a pair of widthwise edges that laterally extend along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl.
  • the pattern in the photoresist layer can be transferred into an upper portion of the transistor active region in the first device region A by performing an anisotropic etch process. Portions of the transistor active region in the first device region A that are not masked by the photoresist layer can be anisotropically etched to form at least one line trench 49. Each of the at least one line trench 49 is formed underneath a respective rectangular opening in the photoresist layer.
  • the at least one line trench 49 extends downward from the top surface of the first transistor active region, laterally extends along the first horizontal direction hdl, and is located within the transistor active region of the first device region A.
  • the at least one line trench 49 comprises a plurality of line trenches 49 (e.g., 2 to 8, such as 4 to 6 trenches) including a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced apart along the second horizontal direction hd2.
  • each of plurality of line trenches 49 comprises a respective upper periphery that coincides with a periphery of a respective opening in the top surface of the transistor active region, which is a portion of the top surface of the semiconductor substrate 8.
  • each line trench 49 of the plurality of line trenches 49 may have a trench length LT along the first horizontal direction hdl and a trench width WT along the second horizontal direction hd2.
  • the trench length LT may be in a range from 10 nm to 1,000 nm, such as from 30 nm to 300 nm, although lesser and greater trench lengths LT may also be employed.
  • the trench width WT may be in a range from 3 nm to 300 nm, such as from 10 nm to 100 nm, although lesser and greater trench widths WT may also be employed.
  • the plurality of the line trenches 49 may be laterally spaced from each other by a trench spacing ST, which is the distance between lengthwise sidewalls of a neighboring pair of line trenches 49 that are laterally spaced apart along the second horizontal direction hd2.
  • the ratio of the trench length LT to the trench width WT may be in a range from 1.0 to 30, such as from 3 to 10, although lesser and greater ratios may also be employed.
  • the trench spacing ST may be in a range from 3 nm to 300 nm, such as from 10 nm to 200 nm, although lesser and greater trench widths WT may also be employed.
  • Each of the line trenches 49 may have a trench depth DT, which may be less than, the same as, or greater than, the depth of the bottom surfaces of the shallow trench isolation structures to be subsequently formed.
  • the trench depth DT may be in a range from 10 nm to 1,000 nm, such as from 30 nm to 300 nm, although lesser and greater trench lengths LT may also be employed.
  • the at least one line trench 49 is formed as a plurality of line trenches 49, the total number of line trenches 49 may be in a range from 2 to 8, such as from 4 to 6, although a greater number of line trenches 49 may also be employed.
  • the widthwise sidewalls of the plurality of line trenches 49 may be aligned along the second horizontal direction hd2. In this case, the widthwise sidewalls of the plurality of line trenches 49 may be located within two vertical planes that laterally extend along the second horizontal direction hd2 and laterally spaced apart along the first horizontal direction by the trench length LT.
  • a first gate dielectric layer 50L, a first semiconductor gate electrode material layer 52L, a first silicon oxide capping layer 42A, and a first silicon nitride capping layer 44A can be sequentially deposited over the semiconductor substrate 8.
  • the first gate dielectric layer 50L includes a dielectric material having a thickness suitable for operation of a high voltage field effect transistor.
  • the first gate dielectric layer 50L can be conformally formed on all physically exposed surfaces of the semiconductor material layer 10, for example, by thermal oxidation of the physically exposed surface portions of the semiconductor material layer 10. If the semiconductor material layer 10 includes single crystalline silicon, the first gate dielectric layer can consist essentially of thermal silicon oxide.
  • the first gate dielectric layer 50L continuous extends over all surfaces of the at least one line trench 49 and over the top surface of the transistor active regions of the semiconductor substrate 8.
  • the first gate dielectric layer 50L may consist essentially of a semiconductor oxide of a material of the semiconductor substrate 8, and may have the same thickness throughout.
  • the thickness of the first gate dielectric layer 50L can be in a range from 6 nm to 100 nm, such as from 10 nm to 60 nm, although lesser and greater thicknesses can also be employed.
  • the first semiconductor gate electrode material layer 52L includes a doped semiconductor material, such as doped polysilicon.
  • the first semiconductor gate electrode material layer 52L can be conformally deposited in remaining volumes of the at least one line trench 49 and over the horizontally-extending portions of the first gate dielectric layer 50L.
  • the first semiconductor gate electrode material layer 52L can be deposited by chemical vapor deposition (CVD).
  • the thickness of the first semiconductor gate electrode material layer 52L can be greater than one half of the trench width WT, and the at least one line trench 49 can be filled with the combination of the first gate dielectric layer 50L and the first semiconductor gate electrode material layer 52L.
  • the thickness of the first semiconductor gate electrode material layer 52L may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • Each portion of the first semiconductor gate electrode material layer 52L located within a line trench 49 comprise a gate electrode fin portion 52F, which is a fin-shaped portion of a respective gate electrode to be subsequently formed.
  • Each gate electrode fin portion 52F may have a rectangular horizontal cross-sectional area, and is located within a respective one of the at least one line trench 49.
  • the first silicon oxide capping layer 42A comprises a silicon oxide material, such as undoped silicate glass.
  • the first silicon oxide capping layer 42A may be deposited, for example, by chemical vapor deposition.
  • the thickness of the first silicon oxide capping layer 42 A may be in a range from 10 nm to 100 nm, although lesser and greater thicknesses may also be employed.
  • the first silicon nitride capping layer 44 A comprises silicon nitride.
  • the first silicon nitride capping layer 44A may be deposited, for example, by chemical vapor deposition.
  • the thickness of the first silicon nitride capping layer 44A may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer (not shown) can be applied over the first silicon nitride capping layer 44A, and can be lithographically patterned to cover the first device region A and the second device region B (i.e., the two high voltage regions), and not to cover the third device region C or the fourth device region D (i.e., the low and very low voltage regions).
  • a sequence of etch processes may be performed to remove unmasked portions of the first silicon nitride capping layer 44A, the first silicon oxide capping layer 42A, the first semiconductor gate electrode material layer 52L, and the first gate dielectric layer 50L.
  • the sequence of etch process may comprise at least one isotropic etch process (such as at least one wet etch process) and/or at least one anisotropic etch process (such as at least one reactive ion etch process).
  • the etch process that removes the unmasked portions of the first gate dielectric layer 50L can be selective to the semiconductor material of the semiconductor substrate 8.
  • the photoresist layer can be subsequently removed, for example, by ashing.
  • additional gate dielectric layers can be formed on the top surfaces of the transistor active regions of the third device region C and the fourth device region D.
  • a second gate dielectric layer 150L can be formed on the top surfaces of the transistor active regions of the third device region C and the fourth device region D, for example, by thermal oxidation of physically exposed surface regions of the semiconductor substrate 8. Portions of the second gate dielectric layer 150L can be removed from the fourth device region D, and a third gate dielectric layer 250L can be formed on the top surface of the transistor active region of the fourth device region D, for example, by thermal oxidation and/or by deposition of a high dielectric constant gate dielectric material.
  • the third gate dielectric layer 250L can be thinner than the second gate dielectric layer 150L, and the second gate dielectric layer 150L may be thinner than the first gate dielectric layer 50L.
  • a second semiconductor gate electrode material layer 53L, a second silicon oxide capping layer 42B, and a second silicon nitride capping layer 44B can be sequentially deposited over the semiconductor substrate 8 and over the first silicon nitride capping layer 44A.
  • the second semiconductor gate electrode material layer 53L includes a doped semiconductor material, such as doped polysilicon.
  • the second semiconductor gate electrode material layer 53L can be deposited directly on top surfaces of the additional gate dielectric layers (150L, 250L).
  • the material composition and the dopant concentration of the second semiconductor gate electrode material layer 53L can be optimized for performance of the transistors to be subsequently formed in the third device region C and in the fourth device region D.
  • the second semiconductor gate electrode material layer 53L and the first semiconductor gate electrode material layer 52L may have the same material composition, or may have different material compositions.
  • the thickness of the second semiconductor gate electrode material layer 53L can be about the same as the thickness of the first semiconductor gate electrode material layer 52L.
  • the thickness of the second semiconductor gate electrode material layer 53L may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • the second silicon oxide capping layer 42B comprises a silicon oxide material such as undoped silicate glass.
  • the second silicon oxide capping layer 42B may be deposited, for example, by chemical vapor deposition.
  • the thickness of the second silicon oxide capping layer 42B may be about the same as the thickness of the first silicon oxide capping layer 42A, and may be in a range from 10 nm to 100 nm, although lesser and greater thicknesses may also be employed.
  • the second silicon nitride capping layer 44B comprises silicon nitride.
  • the second silicon nitride capping layer 44A may be deposited, for example, by chemical vapor deposition.
  • the thickness of the second silicon nitride capping layer 44B may be about the same as the thickness of the first silicon nitride capping layer 44A, and may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer (not shown) can be applied over the first exemplary structure, and can be lithographically patterned to cover the third device region C and the fourth device region D without covering the first device region A or the second device region B.
  • a sequence of etch processes can be performed to remove portions of the second silicon nitride capping layer 44B, the second silicon oxide capping layer 42B, and the second semiconductor gate electrode material layer 53L that overlie the first silicon nitride capping layer 44A in the first device region A and the second device region B.
  • the photoresist layer can be subsequently removed, for example, by ashing.
  • a photoresist layer 17 can be applied over the first exemplary structure, and can be lithographically patterned into discrete photoresist material portions that overlie the area of a respective transistor active region that defines the area of a respective combination of a source region, a channel region, and a drain region of a respective field effect transistor.
  • the patterned portion of the photoresist layer 17 located within the first device region A covers the entirety of the area of the at least one line trench 49.
  • An anisotropic etch process can be performed to etch shallow trenches 19 that vertically extend through the silicon nitride capping layers (44A, 44B), the silicon oxide capping layers (42A, 42B), the semiconductor gate electrode material layers (52L, 53L), and the gate dielectric layers (50L, 150L, 250L), and into an upper portion of the semiconductor material layer 10.
  • the photoresist layer 17 can be employed as an etch mask layer during the anisotropic etch process.
  • the depth of the shallow trenches 19, as measured from the horizontal plane including the top surface of the semiconductor material layer 10, can be in a range from 100 nm to 2,000 nm, such as from 200 nm to 1,000 nm , although lesser and greater depths may also be employed.
  • the shallow trenches 19 can be interconnected with each other, and laterally surround each of the transistor active regions.
  • the transistor active regions are portions of the semiconductor material layer 10 that are located above the horizontal plane including the bottom surfaces of the shallow trenches and laterally surrounded by a continuous set of sidewalls of the shallow trenches 19.
  • each unetched portion of the semiconductor material layer 10 laterally surrounded by the shallow trenches 19 constitutes a transistor active region.
  • the photoresist layer 17 can be subsequently removed, for example, by ashing.
  • At least one dielectric fill material can be deposited in the shallow trenches 19 to form a dielectric fill material layer 20L.
  • the at least one dielectric fill material may include undoped silicate glass.
  • the at least one dielectric fill material may be deposited by a conformal deposition process, such as a chemical vapor deposition process.
  • a chemical mechanical planarization process can be performed to remove portions of the dielectric fill material layer 20L from above the horizontal plane including the top surfaces of the silicon nitride capping layers (44A, 44B). Remaining portions of the dielectric fill material layer 20L constitute a shallow trench isolation structure 20.
  • the shallow trench isolation structure 20 can be subsequently vertically recessed so that the top surface of the shallow trench isolation structure 20 are formed at about the horizontal plane including the bottom surfaces of the silicon nitride capping layers (44 A, 44B).
  • the silicon nitride capping layers (44A, 44B) can be removed selective to the shallow trench isolation structure 20 and the silicon oxide capping layers (42A, 42B).
  • a wet etch process employing hot phosphoric acid can be performed to remove the silicon nitride capping layers (44A, 44B).
  • an etch process that etches the silicon oxide material of the silicon oxide capping layers (42A, 42B) can be performed to remove the silicon oxide capping layers (42A, 42B) selective to the materials of the semiconductor gate electrode material layers (52L, 53L).
  • the etch process may comprise a wet etch process employing dilute hydrofluoric acid.
  • the top surface of the shallow trench isolation structure 20 can be collaterally vertically recessed during the etch process. In one embodiment, the top surface of the shallow trench isolation structure 20 can be located about the height of the top surfaces of the semiconductor gate electrode material layers (52L, 53L).
  • the shallow trench isolation structure 20 can be formed through the semiconductor gate electrode material layers (52L, 53L) and the gate dielectric material layers (50L, 150L, 250L) and into an upper portion of the semiconductor substrate 8.
  • a metallic (i.e., electrically conductive) gate electrode material layer 54L can be deposited directly on top surfaces of the semiconductor gate electrode material layers (52L, 53L).
  • the metallic gate electrode material layer 54L comprises metallic material such as a transition metal, a conductive metallic nitride material (such as TiN, TaN, or WN), or metal silicide material.
  • the metallic gate electrode material layer 54L may be deposited by physical vapor deposition and/or chemical vapor deposition.
  • the metallic gate electrode material layer 54L can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed.
  • a gate capping dielectric layer 58L can be subsequently deposited over the metallic gate electrode material layer 54L.
  • the gate capping dielectric layer 58L can include a passivation dielectric material, such as silicon nitride.
  • the gate capping dielectric layer 58L can be deposited, for example, by chemical vapor deposition.
  • the thickness of the gate capping dielectric layer 58L can be in a range from 10 nm to 100 nm, such as from 20 nm to 60 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer (not shown) can be applied over the gate capping dielectric layer 58L, and can be patterned into discrete photoresist material portions by lithographic exposure and development. Each patterned photoresist material portion can have a shape of a respective gate stack to be subsequently formed.
  • the transistor active regions may have a respective rectangular horizontal cross-sectional shape having a respective pair of lengthwise sidewalls along the first horizontal direction hdl and a respective pair of widthwise sidewalls along the second horizontal direction hd2.
  • each patterned photoresist material portion may have a rectangular horizontal cross-sectional shape having a lesser dimension along the first horizontal direction hdl than the length of a respective underlying transistor active region, and having a greater dimension along the second horizontal direction hd2 than the width of the respective underlying transistor active region.
  • a first gate stack (50A, 52A, 54A, 58A) can be formed in the first device region A.
  • a second gate stack (50B, 52B, 54B, 58B) can be formed in the second device region B.
  • a third gate stack (50C, 52C, 54C, 58C) can be formed in the third device region C.
  • a fourth gate stack (50D, 52D, 54D, 58D) can be formed in the fourth device region D.
  • the first gate stack (50A, 52A, 54A, 58 A) includes a first gate dielectric 50A that is a first patterned portion of the first gate dielectric layer 50L, a first semiconductor gate electrode portion 52A that is a patterned portion of the first semiconductor gate electrode material layer 52L including a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portions 52F, a first metallic gate electrode portion 54A that is a patterned portion of the metallic gate electrode material layer 54L, and a first gate capping dielectric 58A that is a patterned portion of the gate capping dielectric layer 58L.
  • the second gate stack (50B, 52B, 54B, 58B) includes a second gate dielectric 50B that is a second patterned portion of the first gate dielectric layer 50L, a second semiconductor gate electrode portion 52B that is a patterned portion of the first semiconductor gate electrode material layer 52L, a second metallic gate electrode portion 54B that is a patterned portion of the metallic gate electrode material layer 54L, and a second gate capping dielectric 58B that is a patterned portion of the gate capping dielectric layer 58L.
  • the third gate stack (50C, 52C, 54C, 58C) includes a third gate dielectric 50C that is a patterned portion of the second gate dielectric layer 150L, a third semiconductor gate electrode portion 52C that is a patterned portion of the second semiconductor gate electrode material layer 53L, a third metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a third gate capping dielectric 58C that is a patterned portion of the gate capping dielectric layer 58L.
  • the fourth gate stack (50D, 52D, 54D, 58D) includes a fourth gate dielectric 50D that is a patterned portion of the third gate dielectric layer 250L, a fourth semiconductor gate electrode portion 52D that is a patterned portion of the second semiconductor gate electrode material layer 53L, a fourth metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a fourth gate capping dielectric 58D that is a patterned portion of the gate capping dielectric layer 58L.
  • Dummy gate stacks (54E, 58E) may be optionally formed over areas of the shallow trench isolation structure 20. In this case, each dummy gate stack (54E, 58E) may comprise a stack of a dummy metallic gate electrode 54E and a dummy gate capping dielectric 58E.
  • the combination of the first semiconductor gate electrode portion 52A and the first metallic gate electrode portion 54A constitutes a first gate electrode (52A, 54A).
  • the combination of the second semiconductor gate electrode portion 52B and the second metallic gate electrode portion 54B constitutes a second gate electrode (52B, 54B).
  • the combination of the third semiconductor gate electrode portion 52C and the third metallic gate electrode portion 54C constitutes a third gate electrode (52C, 54C).
  • the combination of the fourth semiconductor gate electrode portion 52D and the fourth metallic gate electrode portion 54D constitutes a fourth gate electrode (52D, 54D).
  • the first gate dielectric 50A comprises vertically-extending portions and a horizontally-extending portion adjoined to an upper end of each of the vertically-extending portions.
  • the first gate dielectric 50A is formed on and directly contacts the at least one line trench 49 and a segment of a top surface of the first transistor active region located in the first device region A.
  • the first gate dielectric 50A contacts all surfaces of the at least one line trench 49, such as the plurality of line trenches 49.
  • the first semiconductor gate electrode portion 52A comprises a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F (such as a plurality of gate electrode fin portions 52F).
  • the first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the first transistor active region, and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49.
  • the planar gate electrode portion (52P, 54A) comprises a pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl, and a pair of widthwise sidewalls that laterally extend along the second horizontal direction hd2.
  • the at least one line trench 49 comprises a plurality of line trenches 49 having a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced from each other along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl .
  • each of the plurality of line trenches 49 has a same length along the first horizontal direction hdl (such as the trench length LT shown in FIG. IB) and a same width along the second horizontal direction hd2 (such as the trench width WT shown in FIG. IB).
  • the line trenches 49 within the plurality of line trenches 49 may be spaced from each other by a same trench spacing ST (shown in FIG. IB).
  • the first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the top surface of the semiconductor substrate 8 and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49.
  • each of the plurality of line trenches 49 may be located entirely within an area of the planar gate electrode portion (52P, 54A) in a plan view along a vertical direction that is perpendicular to the top surface of the semiconductor substrate 8.
  • the planar gate electrode portion (52P, 54A) can have a rectangular horizontal cross-sectional shape.
  • the planar gate electrode portion (52P, 54A) may have a gate length LG along the first horizontal direction hdl, and may have a gate width WG along the second horizontal direction hd2.
  • At least one implantation mask layer such as at least one patterned photoresist layer, can be formed over the first exemplary structure. Electrical dopants can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked by a respective combination of an implantation mask layer and the gate stacks to form various source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D).
  • the various source/drain extension regions may include, for example, a first source extension region 31 A, a first drain extension region 39A, a second source extension region 3 IB, a second drain extension region 39B, a third source extension region 31C, a third drain extension region 39C, a fourth source extension region 3 ID, and a fourth drain extension region 39D.
  • each of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) can have a doping of an opposite conductivity type than the conductivity type of a remaining portion of the transistor active region on which the respective one of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) is formed.
  • the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that are formed within surface regions of the transistor active region has a doping of a second conductivity type that is the opposite of the first conductivity type.
  • the first conductivity type is p-type
  • the second conductivity type is n-type, and vice versa.
  • the atomic concentration of dopants in the source/drain extension regions may be in a range from 1.0 x 10 17 /cm 3 to 1.0 x 10 20 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • At least one dielectric spacer material layer can be conformally deposited, and an anisotropic etch process can be performed to remove horizontally-extending portions of the at least one dielectric spacer material layer.
  • the at least one dielectric spacer material layer includes a dielectric material, such as silicon oxide and/or silicon nitride, and may be formed by at least one chemical vapor deposition process such as at least one low pressure chemical vapor deposition (LPCVD) process.
  • Remaining portion of the at least one dielectric spacer material layer comprise dielectric gate spacers 56 that laterally surround a respective one of the gate stacks or a respective one of the dummy gate stacks. For example, one of the dielectric gate spacers 56 laterally surrounds the first gate stack (50A, 52A, 54A, 58A).
  • At least one implantation mask layer such as at least one patterned photoresist layer, can be formed over the first exemplary structure. Electrical dopants can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked by a respective combination of an implantation mask layer, the gate stacks, and the dielectric gate spacers 56 to form various deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D).
  • the various source/deep drain regions may include, for example, a first deep source region 32A, a first deep drain region 38 A, a second deep source region 32B, a second deep drain region 38B, a third deep source region 32C, a third deep drain region 38C, a fourth deep source region 32D, and a fourth deep drain region 38D.
  • each of the deep source/drain regions (32A, 38A, 32B, 38B, 32C, 38C, 32D, 38D) can have the same conductivity type as the conductivity type of a respective source/drain extension region (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) on which the respective deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is formed.
  • the atomic concentration of dopants in the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is greater than the atomic concentration of dopants in the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D).
  • volumes of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that overlap with volumes of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) are incorporated into a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D).
  • the atomic concentration of dopants in the deep source/drain regions may be in a range from 5.0 x 10 18 /cm 3 to 2.0 x 10 21 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • each transistor active region constitutes a respective channel region (36 A, 36B, 36C, 36D).
  • Each channel region may have a dopant concentration of the first conductivity type in a range from 1.0 x 10 14 /cm 3 to 1.0 x 10 18 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • the plurality of line trenches 49 extend through the first channel region 36A in the first device region A.
  • the gate electrode fin portions 52F may protrude vertically (i.e., perpendicular to the major surface of the substrate 8) into the first channel region 36A, such that the bottom surfaces of the gate electrode fin portions 52F are located below the top surface of the first channel region 36 A.
  • the line trenches may be absent in the second, third and fourth channel regions (36B, 36C, 36D) in the second, third and fourth device regions B, C and D.
  • Each contiguous combination of a respective one of source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) and a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) constitutes a source/drain region, which may be a source region including a combination of a source extension region and a deep source region, or may be drain region including a combination of a drain extension region and a deep drain region.
  • Each respective channel region (36A, 36B, 36C, 36D) is located between a respective source region and a respective drain region along the first horizontal direction hdl.
  • a source region and a drain region can be formed in portions of each transistor active region that are laterally spaced from each other by a respective channel region a plan view.
  • a first source region (31 A, 32A) and a first drain region (39A, 38A) can be formed in portions of the first transistor active region that are laterally spaced from each other by the first channel region 36A in the plan view.
  • the first channel region 36 comprises a portion of the first transistor active region and laterally surrounds and underlies each of the plurality of line trenches 49.
  • the first gate dielectric 50A contacts all surfaces of the line trenches 49, and comprises a planar gate dielectric portion that extends over the entirety of the top surface of the first channel region 36A and gate electrode fin portions 52F which are located in the line trenches 49 and extend into the first channel region 36 A.
  • the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a vertical stack including a planar semiconductor gate electrode portion 52P that is adjoined to the at least one gate electrode fin portion 52F, and a planar metallic gate electrode portion 54A that overlies the planar semiconductor gate electrode portion 52P.
  • the planar semiconductor gate electrode portion 52P and the at least one gate electrode fin portion 52F consist of a same doped semiconductor material.
  • the planar gate dielectric portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a first pair of lengthwise sidewalls that are sidewalls of the planar semiconductor gate electrode portion 52P, and a second pair of lengthwise sidewalls that are sidewalls of the metallic gate electrode portion 54A.
  • the first pair of lengthwise sidewalls and the second pair of lengthwise sidewalls laterally extend along the first horizontal direction hdl.
  • the first pair of lengthwise sidewalls (which are sidewalls of the planar semiconductor gate electrode portion 52P) contact a respective sidewall segment of the shallow trench isolation structure 20.
  • a top surface of the planar semiconductor gate electrode portion 52P is located within a horizontal plane including a top surface of the shallow trench isolation structure 20.
  • the planar metallic gate electrode portion 54A has a same lateral extent as the planar semiconductor gate electrode portion 52P along the first horizontal direction hdl, and the planar metallic gate electrode portion 54 A has a greater lateral extent than the planar semiconductor gate electrode portion 52P along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl and contacts surface segments of a top surface of the shallow trench isolation structure 20.
  • a source region and a drain region of each field effect transistor can be embedded in the semiconductor substrate 8, and can be laterally spaced from each other by a respective channel region.
  • the channel region, the source region, and the drain region of each field effect transistor comprise a respective portion of a single crystalline semiconductor material within the semiconductor substrate 8.
  • the semiconductor gate electrode portions (52A, 52B, 52C, 52D) may include a respective doped polycrystalline semiconductor material portion.
  • the at least one gate electrode fin 52F may comprise a respective doped poly crystalline semiconductor material portion.
  • the first field effect transistor 60A may be a high voltage word line switching transistor for a three dimensional NAND memory device.
  • the first channel region 36A can have a doping of a first conductivity type
  • the source region (31 A, 32A) and the drain region (39A, 38A) can have a doping a second conductivity type that is an opposite of the first conductivity type. All surfaces of the at least one line trench 49 may be surfaces of the first channel region 36 A.
  • a second field effect transistor 60B can be provided in the second device region B.
  • a second transistor active region that comprises an additional portion of the semiconductor substrate 8 can be provided in the second device region B.
  • the second field effect transistor 60B can be located on the second transistor active region, and can an additional gate dielectric (such as the second gate dielectric 50B) that consists of an additional planar gate dielectric portion that contacts a top surface of the second transistor active region, and having the same thickness and the same material composition as the first gate dielectric 50A.
  • the first gate dielectric 50A and the second gate dielectric 50B may consist of a semiconductor oxide of the material of the semiconductor substrate 8 (such as thermal silicon oxide) and may have a same thickness throughout.
  • a third field effect transistor 60C can be provided in the third device region C, and a fourth field effect transistor 60D can be provided in the fourth device region D.
  • the first field effect transistor and the second field effect transistor may be high voltage field effect transistors
  • the third field effect transistor may be a low voltage field effect transistor
  • the fourth field effect transistor may be a very low voltage field effect transistor.
  • At least one dielectric liner (not shown) can be optionally formed over the physically exposed surfaces of the first exemplary structure by at least one conformal deposition process.
  • the at least one dielectric liner if present, may comprise, for example, a stack of a silicon oxide liner and a silicon nitride liner.
  • a contact-level dielectric layer 80 can be deposited over the gate stacks, the source/drain regions, the shallow trench isolation structure 20, and the optional at least one dielectric liner.
  • the contact-level dielectric layer 80 comprises a dielectric material, such as silicon oxide.
  • a planarization process such as a chemical mechanical planarization process can be optionally performed to planarize the top surface of the contact-level dielectric layer 80.
  • the vertical distance between the top surface of the contact-level dielectric layer 80 and the top surfaces of the gate capping dielectrics (58A, 58B, 58C, 58D) may be in a range from 50 nm to 500 nm, although lesser and greater vertical distances may also be employed.
  • Contact via voids can be formed through the contact-level dielectric layer 80, and can be filled with at least one conductive material. Excess portions of the at least one conductive material can be removed from above the horizontal plane including the top surface of the contact-level dielectric layer 80 by a planarization process, which may include a recess etch process and/or a chemical mechanical planarization process. Each remaining portion of the at least one conductive material constitutes a contact via structure (82, 85, 88).
  • the contact via structures (82, 85, 88) may comprise source contact via structures 82 contacting a respective one of the source regions, drain contact via structures 88 contacting a respective one of the drain regions, and gate contact via structures 85 contacting a respective one of the gate electrodes.
  • the source contact via structures 82 may comprise a first source contact via structure 82A contacting a first deep source region 32A, a second source contact via structure 82B contacting a second deep source region 32B, a third source contact via structure 82C contacting a third deep source region 32C, and a fourth source contact via structure 82D contacting a fourth deep source region 32D.
  • the drain contact via structures 88 may comprise a first drain contact via structure 88A contacting a first deep drain region 38 A, a second drain contact via structure 88B contacting a second deep drain region 38B, a third drain contact via structure 88C contacting a third deep drain region 38C, and a fourth drain contact via structure 88D contacting a fourth deep drain region 38D.
  • the gate contact via structures 85 may comprise at least one first gate contact via structure 85A contacting the first metallic gate electrode portion 54A, at least one second gate contact via structure 85B contacting the second metallic gate electrode portion 54B, a third gate contact via structure 85C contacting the third metallic gate electrode portion 54C, and a fourth gate contact via structure 85D contacting the fourth metallic gate electrode portion 54D.
  • a second exemplary structure according to a second embodiment of the present disclosure can be derived from the first exemplary illustrated in FIGS. 1 A and IB by increasing the length of the at least one line trench 49 along the first horizontal direction hdl, i.e., by increasing the trench length LT of each of the at least one line trench 49.
  • the trench length LT can be selected so that the trench length LT is greater than the gate length (i.e., the length of the gate electrode to be subsequently formed) of the first field effect transistor to be subsequently formed in the first device region A, and is less than the sum of the gate length and twice the base with of a dielectric gate spacer to be subsequently formed in the first device region A.
  • At least one line trench 49 can be formed in a transistor active region of a semiconductor substrate 8 in the first device region A.
  • Each of the at least one line trench 49 extends downward from the top surface of a first transistor active region in the first device region A, and laterally extends along the first horizontal direction hdl.
  • the at least one line trench 49 comprises a plurality of line trenches 49 including a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced apart along the second horizontal direction hd2.
  • each of plurality of line trenches 49 comprises a respective upper periphery that coincides with a periphery of a respective opening in the top surface of the semiconductor substrate 8.
  • the processing steps of FIGS. 2A and 2B can be performed to form a layer stack including a first gate dielectric layer 50L, a first semiconductor gate electrode material layer 52L, a first silicon oxide capping layer 42A, and a first silicon nitride capping layer 44A over the semiconductor substrate 8.
  • the first gate dielectric layer 50L can contact all surfaces of the at least one line trench 49.
  • the processing steps of FIGS. 3A and 3B can be performed to remove portions of the layer stack of the first gate dielectric layer 50L, the first semiconductor gate electrode material layer 52L, the first silicon oxide capping layer 42A, and the first silicon nitride capping layer 44A from the third device region C and from the fourth device region D.
  • the processing steps of FIGS. 4A and 4B can be performed to form additional gate dielectric layers (150L, 250L), a second semiconductor gate electrode material layer 53L, a second silicon oxide capping layer 42B, and a second silicon nitride capping layer 44B.
  • 5 A and 5B can be performed to remove portions of the second silicon nitride capping layer 44B, the second silicon oxide capping layer 42B, and the second semiconductor gate electrode material layer 53L that overlie the first silicon nitride capping layer 44A in the first device region A and the second device region B.
  • the processing steps of FIGS. 6A and 6B can be performed to form shallow trenches 19.
  • the processing steps of FIGS. 7A and 7B can be performed to form a dielectric fill material layer 20L.
  • the processing steps of FIGS. 8A and 8B can be performed to form a shallow trench isolation structure 20.
  • the shallow trench isolation structure 20 can be subsequently vertically recessed so that the top surface of the shallow trench isolation structure 20 is formed at about the horizontal plane including the bottom surfaces of the silicon nitride capping layers (44A, 44B). Subsequently, the processing steps of FIGS.
  • the top surface of the shallow trench isolation structure 20 can be located about the height of the top surfaces of the semiconductor gate electrode material layers (52L, 53L).
  • the shallow trench isolation structure 20 can be formed through the semiconductor gate electrode material layers (52L, 53L) and the gate dielectric material layers (50L, 150L, 250L) and into an upper portion of the semiconductor substrate 8.
  • the processing steps of FIGS. 10A and 10B can be performed to form a metallic gate electrode material layer 54L directly on top surfaces of the semiconductor gate electrode material layers (52L, 53L).
  • the metallic gate electrode material layer 54L comprises metallic material such as a transition metal, a conductive metallic nitride material (such as TiN, TaN, or WN), or metal silicide material.
  • the metallic gate electrode material layer 54L may be deposited by physical vapor deposition and/or chemical vapor deposition.
  • the metallic gate electrode material layer 54L can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed.
  • a gate capping dielectric layer 58L can be subsequently deposited over the metallic gate electrode material layer 54L.
  • the gate capping dielectric layer 58L can include a passivation dielectric material, such as silicon nitride.
  • the gate capping dielectric layer 58L can be deposited, for example, by chemical vapor deposition.
  • the thickness of the gate capping dielectric layer 58L can be in a range from 10 nm to 100 nm, such as from 20 nm to 60 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer (not shown) can be applied over the gate capping dielectric layer 58L, and can be patterned into discrete photoresist material portions by lithographic exposure and development. Each patterned photoresist material portion can have a shape of a respective gate stack to be subsequently formed.
  • the transistor active regions may have a respective rectangular horizontal cross-sectional shape having a respective pair of lengthwise sidewalls along the first horizontal direction hdl and a respective pair of widthwise sidewalls along the second horizontal direction hd2.
  • each patterned photoresist material portion may have a rectangular horizontal cross-sectional shape having a lesser dimension along the first horizontal direction hdl than the length of a respective underlying transistor active region, and having a greater dimension along the second horizontal direction hd2 than the width of the respective underlying transistor active region.
  • a patterned photoresist material portion can be formed in the first device region A such that the patterned photoresist material portion has a rectangular horizontal cross-sectional shape.
  • the patterned photoresist material portion in the first device region A can have a first gate length along the first horizontal direction hdl that is less than the trench length LT of the at least one line trench 49 along the first horizontal direction, and a first gate width along the second horizontal direction hd2 that is greater than the width of the first transistor active region within the first device region A.
  • the patterned photoresist material portion in the first device region A can be positioned such that end portions of each line trench 49 are not covered by the patterned photoresist material portion in the first device region A. In one embodiment, a first end portion and a second end portion of each line trench 49 that are laterally spaced apart along the first horizontal direction hdl are not covered by the patterned photoresist material portion in the first device region A.
  • the gate capping dielectric layer 58L and the at least one gate electrode material layer (54L, 52L) can be patterned by performing an anisotropic etch process that etches unmasked portions of the gate capping dielectric layer 58L and the at least one gate electrode material layer (54L, 52L) selective to the gate dielectric layers (50L, 150L, 250L).
  • Each patterned portion of the gate capping dielectric layer 58L constitutes a gate capping dielectric (58A, 58B, 58C, 58D).
  • Each patterned portion of the at least one gate electrode material layer (54L, 52L) constitutes a gate electrode ⁇ (52A, 54A), (52B, 54B), (52C, 54C), (52D, 54D).
  • each dummy gate stack (54E, 58E) may be optionally formed over areas of the shallow trench isolation structure 20.
  • each dummy gate stack (54E, 58E) may comprise a stack of a dummy metallic gate electrode 54E and a dummy gate capping dielectric 58E.
  • the combination of the first semiconductor gate electrode portion 52A and the first metallic gate electrode portion 54A constitutes a first gate electrode (52A, 54A).
  • the combination of the second semiconductor gate electrode portion 52B and the second metallic gate electrode portion 54B constitutes a second gate electrode (52B, 54B).
  • the combination of the third semiconductor gate electrode portion 52C and the third metallic gate electrode portion 54C constitutes a third gate electrode (52C, 54C).
  • the combination of the fourth semiconductor gate electrode portion 52D and the fourth metallic gate electrode portion 54D constitutes a fourth gate electrode (52D, 54D).
  • the first gate electrode (52A, 54A) comprises a first semiconductor gate electrode portion 52A and a first metallic gate electrode portion 54A.
  • the first semiconductor gate electrode portion 52A is a patterned portion of the first semiconductor gate electrode material layer 52L including a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F.
  • the first metallic gate electrode portion 54A that is a patterned portion of the metallic gate electrode material layer 54L.
  • the second gate electrode (52B, 54B) comprises a second semiconductor gate electrode portion 52B and a second metallic gate electrode portion 54B.
  • the second semiconductor gate electrode portion 52B is a patterned portion of the second semiconductor gate electrode material layer 52L.
  • the second metallic gate electrode portion 54B that is a patterned portion of the metallic gate electrode material layer 54L.
  • the third gate electrode (52C, 54C) comprises a third semiconductor gate electrode portion 52C and a third metallic gate electrode portion 54C.
  • the third semiconductor gate electrode portion 52C is a patterned portion of the second semiconductor gate electrode material layer 53L.
  • the third metallic gate electrode portion 54C is a patterned portion of the metallic gate electrode material layer 54L.
  • the fourth gate electrode (52D, 54D) comprises a fourth semiconductor gate electrode portion 52D and a fourth metallic gate electrode portion 54D.
  • the fourth semiconductor gate electrode portion 52D is a patterned portion of the second semiconductor gate electrode material layer 53L.
  • the fourth metallic gate electrode portion 54C is a patterned portion of the metallic gate electrode material layer 54L.
  • the first semiconductor gate electrode portion 52A comprises a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F (such as a plurality of gate electrode fin portions 52F).
  • the first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the first transistor active region, and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49.
  • the planar gate electrode portion (52P, 54A) comprises a pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl, and a pair of widthwise sidewalls that laterally extend along the second horizontal direction hd2.
  • the at least one line trench 49 comprises a plurality of line trenches 49 having a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced from each other along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl .
  • each of the plurality of line trenches 49 has a same length along the first horizontal direction hdl (such as the trench length LT) and a same width along the second horizontal direction hd2 (such as the trench width WT).
  • the line trenches 49 within the plurality of line trenches 49 may be spaced from each other by a same trench spacing ST.
  • the first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the top surface of the semiconductor substrate 8 and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49.
  • each of the plurality of line trenches 49 may be located entirely within an area of the planar gate electrode portion (52P, 54A) in a plan view along a vertical direction that is perpendicular to the top surface of the semiconductor substrate 8.
  • the planar gate electrode portion (52P, 54A) can have a rectangular horizontal cross-sectional shape.
  • the planar gate electrode portion (52P, 54A) may have a gate length LG along the first horizontal direction hdl, and may have a gate width WG along the second horizontal direction hd2.
  • a pair of voids 56V can be formed within each line trench 49.
  • the gate length LG is less than the trench length LT by a length difference that is greater than twice the thickness of the first gate dielectric layer 50L.
  • Each void 56V vertically extends from the horizontal plane including the topmost surface of the first gate dielectric layer 50L to a horizontal plane including the top surface segments of each horizontally-extending portion of the first gate dielectric layer 50L that contacts a respective bottom surface of the at least one line trench 49.
  • the depth of each void 56V can be the same as the depth of each line trench 49.
  • An inner sidewall of the first gate dielectric layer 50L, a pair of end segments of sidewalls of the first gate dielectric layer 50L, and an end surface of a gate electrode fin portion 56F can be exposed around each void 56V in a respective line trench 49.
  • the lateral dimension of each void 56V along the first horizontal direction may be about one half of the dimension that is the trench length LT less the gate length LG less twice the thickness of the first gate dielectric layer 50L.
  • the first gate dielectric layer 50L contacts the bottom surface and the sidewalls of each of the at least one line trench 49.
  • the first gate dielectric layer 50L can contact all surfaces of the at least one line trench 49.
  • the first gate electrode (52A, 54A) comprises planar gate electrode portion (52P, 54A) that overlies the horizontally-extending portion of the first gate dielectric layer 50L that overlies the top surface of the semiconductor substrate 8, and comprises at least one gate electrode fin portion 52F located within the at least one line trench 49.
  • Widthwise gate sidewalls of the planar gate electrode portion (52P, 54A) can be perpendicular to the first horizontal direction hdl (and thus, can be parallel to the second horizontal direction hd2), and physically exposed end surfaces of each gate electrode fin portion 52F (also referred to as widthwise fin sidewalls) can be perpendicular to the first horizontal direction hdl.
  • the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A) can be laterally spaced apart along the first horizontal direction by the gate length LG, and the physically exposed end surfaces of each gate electrode fin portion 52F can be laterally spaced apart along the first horizontal direction by the gate length LG.
  • each gate electrode fin portion 52F can be vertically coincident with the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A), i.e., can be located within the same pair of vertical planes that are perpendicular to the first horizontal direction hdl.
  • each of the widthwise fin sidewalls of each gate electrode fin portion 52F can be vertically coincident with a respective one of the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A).
  • electrical dopants can be implanted into portions of the semiconductor material layer 10 that are not masked by the gate stacks to form various source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D).
  • the various source/drain extension regions may include, for example, a first source extension region 31 A, a first drain extension region 39A, a second source extension region 3 IB, a second drain extension region 39B, a third source extension region 31C, a third drain extension region 39C, a fourth source extension region 3 ID, and a fourth drain extension region 39D.
  • each of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) can have a doping of an opposite conductivity type than the conductivity type of a remaining portion of the transistor active region on which the respective one of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) is formed.
  • the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that are formed within surface regions of the transistor active region has a doping of a second conductivity type that is the opposite of the first conductivity type.
  • the first conductivity type is p-type
  • the second conductivity type is n-type, and vice versa.
  • the atomic concentration of dopants in the source/drain extension regions may be in a range from 1.0 x 10 17 /cm 3 to 1.0 x 10 20 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • the first source extension region 31 A is in contact with end segments of the bottom surface and the sidewalls of each of the at least one line trench 49, and is in contact with a segment of the horizontally-extending portion of the first gate dielectric layer 50L that overlies the top surface of the semiconductor substrate 8.
  • the first drain extension region 39B is in contact with additional end segments of the bottom surface and the sidewalls of each of the at least one line trench 49, and is in contact with another segment of the horizontally-extending portion of the first gate dielectric layer 50L that overlies the top surface of the semiconductor substrate 8.
  • each horizontally-extending portion of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) may be in a range from 5 nm to 100 nm, such as from 10 nm to 50 nm, although lesser and greater vertical distances may also be employed.
  • the thickness of the horizontally-extending portions of the first source/drain extension regions (31 A, 39A) that underlie the bottom surface of each line trench 49 may be in a range from 5 nm to 100 nm, such as from 10 nm to 50 nm, although lesser and greater vertical distances may also be employed.
  • straggle of the implanted ions causes the lateral extent of each of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) to extend underneath a periphery of the gate electrodes ⁇ (52 A, 54A), (52B, 54B), (52C, 54C), (52D, 54D), thereby providing a lateral overlap with peripheral portions of the gate electrodes ⁇ (52A, 54A), (52B, 54B), (52C, 54C), (52D, 54D) ⁇ and the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) in a plan view.
  • the lateral straggle of the implanted ions may also cause the implanted ions to by implanted into portions of the semiconductor material layer 10 which form the sidewalls of the line trenches 49 along the second horizontal direction hd2.
  • At least one dielectric spacer material layer can be conformally deposited.
  • the at least one dielectric spacer material layer includes a dielectric material, such as silicon oxide and/or silicon nitride, and may be formed by at least one chemical vapor deposition process such as at least one low pressure chemical vapor deposition (LPCVD) process.
  • the at least one dielectric spacer material layer is deposited in the voids 56V in the at least one line trench 49.
  • the voids 56V can be filled with the at least one dielectric spacer material layer.
  • An anisotropic etch process can be performed to remove horizontally-extending portions of the at least one dielectric spacer material layer.
  • Remaining portion of the at least one dielectric spacer material layer comprise dielectric gate spacers 56 that laterally surround a respective one of the gate electrodes ⁇ (52A, 54A), (52B, 54B), (52C, 54C), (52D, 54D) or a respective one of the dummy gate stacks.
  • one of the dielectric gate spacers 56 (which is herein referred to as a first dielectric gate spacer 56A) laterally surrounds the first gate stack (50A, 52A, 54A, 58A).
  • the anisotropic etch process can be continued to remove portions of the gate dielectric layers (50L, 150L, 250L) that are not masked by the dielectric gate spacers 56.
  • the remaining portions of the first gate dielectric layer 50L comprise a first gate dielectric 50A that is formed in the first device region A, and a second gate dielectric 50B that is formed in the second device region B.
  • a remaining portion of the second gate dielectric layer 150L includes a third gate dielectric 50C that remains in the third device region C.
  • a remaining portion of the third gate dielectric layer 250L include a fourth gate dielectric 50D that remains in the fourth device region D.
  • the first dielectric gate spacer 56A is formed around the first gate electrode (52A, 54A). As shown in FIG. 21C, the first dielectric gate spacer 56A laterally surrounds the first gate electrode (52A, 54A), and may comprise downward-protruding portions 56P vertically extending into the voids 56V at the respective end portion of the at least one line trench 49. Each of the at least one line trench 49 contains a respective electrode fin portion 52F, and a respective pair of downward-protruding portions 56P of the first dielectric gate spacer 56A.
  • the first gate dielectric 50A comprises a planar gate dielectric portion located above the horizontal plane including the top surface of the semiconductor substrate 8, and vertically-extending portions that are located within a respective line trench 49.
  • the first dielectric gate spacer 56A contacts a segment of a top surface of the planar gate dielectric portion of the first gate dielectric 50A and contacts sidewalls of the vertically-extending portions of the first gate dielectric 50A that are located in the at least one line trench 49.
  • the first dielectric gate spacer 56A comprises at least one pair of downwardprotruding portions 56P, which may be a plurality of pairs of downward-protruding portions 56P.
  • the total number of pairs of downward-protruding portions 56P of the first dielectric gate spacer 56A may be the same as the total number of the line trenches 49.
  • Bottom surfaces of the at least one pair of downward-protruding portions 56P of the first dielectric gate spacer 56A can be located within a same horizontal plane as a bottom surface of each of the at least one gate electrode fin portion 56F.
  • the at least one pair of downward-protruding portions 56P of the first dielectric gate spacer 56A can contact sidewalls of each of the at least one gate electrode fin portion 52F, i.e., the end surfaces of each of the at least one gate electrode fin portion 52F that are perpendicular to the first horizontal direction hdl. In one embodiment, interfaces between the at least one pair of downward-protruding portions 56P of the first dielectric gate spacer 56A and each of the at least one gate electrode fin portion 52F are perpendicular to the first horizontal direction hdl.
  • a first gate stack (50A, 52A, 54A, 58A) can be formed in the first device region A.
  • a second gate stack (50B, 52B, 54B, 58B) can be formed in the second device region B.
  • a third gate stack (50C, 52C, 54C, 58C) can be formed in the third device region C.
  • a fourth gate stack (50D, 52D, 54D, 58D) can be formed in the fourth device region D.
  • the first gate stack (50A, 52A, 54A, 58 A) includes a first gate dielectric 50A that is a first patterned portion of the first gate dielectric layer 50L, a first semiconductor gate electrode portion 52A that is a patterned portion of the first semiconductor gate electrode material layer 52L and the fin portions 52F, a first metallic gate electrode portion 54A that is a patterned portion of the metallic gate electrode material layer 54L, and a first gate capping dielectric 58A that is a patterned portion of the gate capping dielectric layer 58L.
  • the second gate stack (50B, 52B, 54B, 58B) includes a second gate dielectric 50B that is a second patterned portion of the first gate dielectric layer 50L, a second semiconductor gate electrode portion 52B that is a patterned portion of the first semiconductor gate electrode material layer 52L, a second metallic gate electrode portion 54B that is a patterned portion of the metallic gate electrode material layer 54L, and a second gate capping dielectric 58B that is a patterned portion of the gate capping dielectric layer 58L.
  • the third gate stack (50C, 52C, 54C, 58C) includes a third gate dielectric 50C that is a patterned portion of the second gate dielectric layer 150L, a third semiconductor gate electrode portion 52C that is a patterned portion of the second semiconductor gate electrode material layer 53L, a third metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a third gate capping dielectric 58C that is a patterned portion of the gate capping dielectric layer 58L.
  • the fourth gate stack (50D, 52D, 54D, 58D) includes a fourth gate dielectric 50D that is a patterned portion of the third gate dielectric layer 250L, a fourth semiconductor gate electrode portion 52D that is a patterned portion of the second semiconductor gate electrode material layer 53L, a fourth metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a fourth gate capping dielectric 58D that is a patterned portion of the gate capping dielectric layer 58L.
  • the first gate dielectric 50A comprises vertically-extending portions and a horizontally-extending portion adjoined to an upper end of each of the vertically-extending portions.
  • the first gate dielectric 50A is formed on and directly contacts the at least one line trench 49 and a segment of a top surface of the first transistor active region located in the first device region A.
  • the first gate dielectric 50A contacts all surfaces of the at least one line trench 49, such as the plurality of line trenches 49.
  • the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A) has widthwise gate sidewalls that are perpendicular to the first horizontal direction hdl and laterally spaced apart along the first horizontal direction hdl by the gate length LG, each of the at least one gate electrode fin portion 52F has respective widthwise fin sidewalls (i.e., end surfaces) that are perpendicular to the first horizontal direction hdl and laterally spaced apart along the first horizontal direction hdl by the gate length LG.
  • each of the widthwise fin sidewalls is vertically coincident with a respective one of the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A).
  • the planar gate electrode portion (52P, 54A) comprises a pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl, and a pair of widthwise sidewalls that laterally extend along the second horizontal direction hd2 and having a respective bottom edge that coincides with a top edge of a respective sidewall of a planar horizontally-extending portion of the first gate dielectric 50A that overlies the top surface of the semiconductor substrate 8.
  • the various source/deep drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) may include, for example, a first deep source region 32A, a first deep drain region 38 A, a second deep source region 32B, a second deep drain region 38B, a third deep source region 32C, a third deep drain region 38C, a fourth deep source region 32D, and a fourth deep drain region 38D.
  • each of the deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) can have the same conductivity type as the conductivity type of a respective source/drain extension region (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) on which the respective deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is formed.
  • the atomic concentration of dopants in the deep source/drain region (32 A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is greater than the atomic concentration of dopants in the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D).
  • volumes of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that overlap with volumes of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) are incorporated into a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D).
  • the atomic concentration of dopants in the deep source/drain regions may be in a range from 5.0 x 10 18 /cm 3 to 2.0 x 10 21 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • each transistor active region constitutes a respective channel region (36 A, 36B, 36C, 36D).
  • Each channel region may have a dopant concentration of the first conductivity type in a range from 1.0 x 10 14 /cm 3 to 1.0 x 10 18 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • the plurality of line trenches 49 extend through the first channel region 36A in the first device region A.
  • the gate electrode fin portions 52F may protrude vertically (i.e., perpendicular to the major surface of the substrate 8) into the first channel region 36A, such that the bottom surfaces of the gate electrode fin portions 52F are located below the top surface of the first channel region 36 A.
  • the line trenches may be absent in the second, third and fourth channel regions (36B, 36C, 36D) in the second, third and fourth device regions B, C and D.
  • Each contiguous combination of a respective one of source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) and a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) constitutes a source/drain region, which may be a source region including a combination of a source extension region and a deep source region, or may be drain region including a combination of a drain extension region and a deep drain region.
  • Each respective channel region (36A, 36B, 36C, 36D) is located between a respective source region and a respective drain region along the first horizontal direction hdl.
  • a first source region (31 A, 32A) and a first drain region (39A, 38A) can be formed in the semiconductor substrate 8 by implanting electrical dopants.
  • the first source region (31 A, 32A) comprises a first source extension region 31 A having a bottommost surface that underlies a horizontal plane including each bottom surface of the at least one line trench 49.
  • the first drain region (39A, 38A) comprises a first drain extension region 39A having a bottommost surface that underlies the horizontal plane including each bottom surface of the at least one line trench 49.
  • the first deep source region 32A and the first deep drain region 38A can be located in the semiconductor substrate 8, and can be laterally spaced from each other by the at least one line trench 49.
  • the first source extension region 31 A is adjoined to the first deep source region 32A to provide a first source region (31 A, 32A).
  • the first drain extension region 39A is adjoined to the first deep drain region 38A to provide a first drain region (39A, 38A).
  • a bottommost surface of the first source extension region 31 A is located at a greater depth than a bottom surface of the deep source region 32A from a horizontal plane including an interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A.
  • a bottommost surface of the first drain extension region 39A is located at a greater depth than a bottom surface of the deep drain region 38A from the horizontal plane including the interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A.
  • a bottom surface of a horizontally-extending portion of the first source extension region 31 A can be laterally offset from the at least one line trench 49, and can be located at a lesser depth than the bottom surface of the first deep source region 32A from the horizontal plane including the interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A.
  • a bottom surface of a horizontally-extending portion of the first drain extension region 39A can be laterally offset from the at least one line trench 49, and can be located at a lesser depth than the bottom surface of the first deep drain region 38A from the horizontal plane including the interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A.
  • a source region and a drain region can be formed in portions of each transistor active region that are laterally spaced from each other by a respective channel region a plan view.
  • a first source region (31 A, 32A) and a first drain region (39A, 38A) can be formed in portions of the first transistor active region that are laterally spaced from each other by the first channel region 36A in the plan view.
  • the first channel region 36A comprises a portion of the first transistor active region and laterally surrounds and underlies each of the plurality of line trenches 49.
  • the first gate dielectric 50A contacts all surfaces of the line trenches 49, and comprises a planar gate dielectric portion that extends over the entirety of the top surface of the first channel region 36A and gate electrode fin portions 52F which are located in the line trenches 49 and extend into the first channel region 36 A.
  • the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a vertical stack including a planar semiconductor gate electrode portion 52P that is adjoined to the at least one gate electrode fin portion 52F, and a planar metallic gate electrode portion 54A that overlies the planar semiconductor gate electrode portion 52P.
  • the planar semiconductor gate electrode portion 52P and the at least one gate electrode fin portion 52F consist of a same doped semiconductor material.
  • the planar gate dielectric portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a first pair of lengthwise sidewalls that are sidewalls of the planar semiconductor gate electrode portion 52P, and a second pair of lengthwise sidewalls that are sidewalls of the metallic gate electrode portion 54A.
  • the first pair of lengthwise sidewalls and the second pair of lengthwise sidewalls laterally extend along the first horizontal direction hdl.
  • the first pair of lengthwise sidewalls (which are sidewalls of the planar semiconductor gate electrode portion 52P) contact a respective sidewall segment of the shallow trench isolation structure 20.
  • a top surface of the planar semiconductor gate electrode portion 52P is located within a horizontal plane including a top surface of the shallow trench isolation structure 20.
  • the planar metallic gate electrode portion 54A has a same lateral extent as the planar semiconductor gate electrode portion 52P along the first horizontal direction hdl, and the planar metallic gate electrode portion 54 A has a greater lateral extent than the planar semiconductor gate electrode portion 52P along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl and contacts surface segments of a top surface of the shallow trench isolation structure 20.
  • a source region and a drain region of each field effect transistor can be embedded in the semiconductor substrate 8, and can be laterally spaced from each other by a respective channel region.
  • the channel region, the source region, and the drain region of each field effect transistor comprise a respective portion of a single crystalline semiconductor material within the semiconductor substrate 8.
  • the semiconductor gate electrode portions (52A, 52B, 52C, 52D) may include a respective doped polycrystalline semiconductor material portion.
  • the at least one gate electrode fin 52F may comprise a respective doped poly crystalline semiconductor material portion.
  • a first field effect transistor 60A is formed in the first device region A.
  • the first field effect transistor 60A may be a high voltage word line switching transistor for a three dimensional NAND memory device.
  • the first channel region 36A can have a doping of a first conductivity type
  • the source region (31 A, 32A) and the drain region (39A, 38A) can have a doping a second conductivity type that is an opposite of the first conductivity type. All surfaces of the at least one line trench 49 may be surfaces of the first channel region 36 A.
  • a second field effect transistor 60B can be provided in the second device region B.
  • a second transistor active region that comprises an additional portion of the semiconductor substrate 8 can be provided in the second device region B.
  • the second field effect transistor 60B can be located on the second transistor active region, and can an additional gate dielectric (such as the second gate dielectric 50B) that consists of an additional planar gate dielectric portion that contacts a top surface of the second transistor active region, and having the same thickness and the same material composition as the first gate dielectric 50A.
  • the first gate dielectric 50A and the second gate dielectric 50B may consist of a semiconductor oxide of the material of the semiconductor substrate 8 (such as thermal silicon oxide) and may have a same thickness throughout.
  • a third field effect transistor 60C can be provided in the third device region C, and a fourth field effect transistor 60D can be provided in the fourth device region D.
  • the first field effect transistor and the second field effect transistor may be high voltage field effect transistors
  • the third field effect transistor may be a low voltage field effect transistor
  • the fourth field effect transistor may be a very low voltage field effect transistor.
  • At least one dielectric liner (not shown) can be optionally formed over the physically exposed surfaces of the second exemplary structure by at least one conformal deposition process.
  • the at least one dielectric liner may comprise, for example, a stack of a silicon oxide liner and a silicon nitride liner.
  • a contact-level dielectric layer 80 can be deposited over the gate stacks, the source/drain regions, the shallow trench isolation structure 20, and the optional at least one dielectric liner.
  • the contact-level dielectric layer 80 comprises a dielectric material, such as silicon oxide.
  • a planarization process such as a chemical mechanical planarization process can be optionally performed to planarize the top surface of the contact-level dielectric layer 80.
  • the vertical distance between the top surface of the contact-level dielectric layer 80 and the top surfaces of the gate capping dielectrics (58A, 58B, 58C, 58D) may be in a range from 50 nm to 500 nm, although lesser and greater vertical distances may also be employed.
  • Contact via voids can be formed through the contact-level dielectric layer 80, and can be filled with at least one conductive material. Excess portions of the at least one conductive material can be removed from above the horizontal plane including the top surface of the contact-level dielectric layer 80 by a planarization process, which may include a recess etch process and/or a chemical mechanical planarization process. Each remaining portion of the at least one conductive material constitutes a contact via structure (82, 85, 88).
  • the contact via structures (82, 85, 88) may comprise source contact via structures 82 contacting a respective one of the source regions, drain contact via structures 88 contacting a respective one of the drain regions, and gate contact via structures 85 contacting a respective one of the gate electrodes.
  • the source contact via structures 82 may comprise a first source contact via structure 82A contacting a first deep source region 32A, a second source contact via structure 82B contacting a second deep source region 32B, a third source contact via structure 82C contacting a third deep source region 32C, and a fourth source contact via structure 82D contacting a fourth deep source region 32D.
  • the drain contact via structures 88 may comprise a first drain contact via structure 88A contacting a first deep drain region 38 A, a second drain contact via structure 88B contacting a second deep drain region 38B, a third drain contact via structure 88C contacting a third deep drain region 38C, and a fourth drain contact via structure 88D contacting a fourth deep drain region 38D.
  • the gate contact via structures 85 may comprise at least one first gate contact via structure 85A contacting the first metallic gate electrode portion 54A, at least one second gate contact via structure 85B contacting the second metallic gate electrode portion 54B, a third gate contact via structure 85C contacting the third metallic gate electrode portion 54C, and a fourth gate contact via structure 85D contacting the fourth metallic gate electrode portion 54D.
  • the second exemplary structure can be derived from the first exemplary structure described above by altering the relative dimensions of the planar gate electrode portion (52P, 54A) and the at least one gate electrode fin portion 52F along the first horizontal direction hdl.
  • the planar gate electrode portion (52P, 54A) of the first field effect transistor 60A can have a gate length along the first horizontal direction hdl (i.e., the distance between a pair of sidewalls that are perpendicular to the first horizontal direction hdl) that is less than the length of the at least one gate electrode fin portion 52F along the first horizontal direction hdl.
  • each dielectric gate spacer 56 can be selected such that the first dielectric gate spacer 56 that laterally surrounds the planar gate electrode portion (52P, 54A) of the first field effect transistor 60A covers all segments of the top surface of the at least one gate electrode fin portion 52F that is not covered by the planar gate electrode portion (52P, 54A). Thus, the entire area of the at least one gate electrode fin portion 52F can be covered by the combination of the planar gate electrode portion (52P, 54A) and the first dielectric gate spacer 56.
  • each portion of the semiconductor substrate 8 that the first dielectric gate spacer 56 contacts may comprise a first source extension region 31 A or a first drain extension region 39A.
  • portions of the first source extension region 31 A may protrude between neighboring pairs of first end segments of the plurality of gate electrode fin portions 52F
  • portions of the first drain extension region 39A may protrude between neighboring pairs of second end segments of the plurality of gate electrode fin portions 52F. Lengthening the at least one gate electrode fin portion 52F along the first horizontal direction hdl relative to the gate length of the planar gate electrode portion (52P, 54A) along the first horizontal direction hdl provides the advantage of the channel length being controlled by the length of the at least one gate electrode fin portion 52F for the first channel region 36 A.
  • an alternative embodiment of the second exemplary structure can be derived from the second exemplary structure by altering the trench spacing ST such that the trench spacing ST is less than twice the lateral straggle range of the source/drain extension regions (31 A, 3 IB, 31C, 3 ID, 39A, 39B, 39C, 39D).
  • the first source extension region 31 A that are located on sidewalls of the line trenches 49 may merge, and the portion of the first channel region 36A located between neighboring pairs of the line trenches 49 may be eliminated, as shown in FIGS. 23E - 23G.
  • the first channel region 36A is only located below the line trenches 49.
  • the first source-extension region 31 A may comprise a bottom surface that continuously extends underneath first end portions of a plurality of line trenches 49. Likewise, vertically- extending portions of the first drain extension region 39A that are located on sidewalls of the line trenches 49 may merge, and the portion of the first channel region 36A located between neighboring pairs of the line trenches 49 may be eliminated.
  • the first drain-extension region 39A may comprise a bottom surface that continuously extends underneath first end portions of a plurality of line trenches 49.
  • another alternative embodiment of the second exemplary structure can be derived from the second exemplary structure of FIGS. 22 A - 22H or from the alternative embodiment of the second exemplary structure of FIGS. 23 A - 23H by selecting the width of the dielectric gate spacers 56 such that a vertically-extending sidewall of the first source extension region 31 A contacts a sidewalls of the first deep source region 32A, and a vertically-extending sidewall of the first drain extension region 39A contacts a sidewalls of the first deep drain region 38 A.
  • a semiconductor structure which comprises: a semiconductor substrate 8 containing a shallow trench isolation structure 20 that laterally surrounds a first transistor active region that is a portion of the semiconductor substrate 8; and a first field effect transistor comprising: at least one line trench 49 extending downward from a top surface of the first transistor active region, laterally extending along a first horizontal direction hdl, and located within the transistor active region; a channel region 36A comprising a portion of the first transistor active region that laterally surrounds or underlies the at least one line trench 49; a gate dielectric (such as a first gate dielectric 50A) contacting all surfaces of the at least one line trench 49 and comprising a planar gate dielectric portion that extends over an entirety of a top surface of the channel region; a gate electrode (such as the first gate electrode (52A, 54A) comprising a planar gate electrode portion (52P, 54A) that overlies the top surface of the plan
  • a field effect transistor 60A comprise: at least one line trench 49 extending downward from a top surface of a first transistor active region in a substrate, and laterally extending along a first horizontal direction hdl; a gate dielectric 50A contacting a bottom surface and sidewalls of each of the at least one line trench 49 and comprising a planar gate dielectric portion overlying the substrate; a gate electrode (52A, 54A) comprising a planar gate electrode portion (52P, 54A) that overlies the planar gate dielectric portion and at least one gate electrode fin portion 52F located within the at least one line trench 49; and a dielectric gate spacer 56A laterally surrounding the gate electrode (52A, 54A) and comprising at least one pair of downwardprotruding portions 56P vertically extending into the at least one line trench 49.
  • Embodiments of the present disclosure can be employed to provide a high voltage field effect transistor with gate fins (such as the gate electrode fin portion 52F).
  • the line trenches and the gate electrode fin portions 52F has the effect of increasing the channel area per unit device area as seen in a plan view. Therefore, the width of the first field effect transistor 60A along the second horizontal direction may be reduced by at least 30%, such as 30 to 40% without a corresponding increase in the substrate bias threshold voltage increase. Furthermore, the smaller width does not reduce the channel surface area, which in turn does not negatively change the gate dielectric capacitance. The smaller width increases the device density. Furthermore, the substrate sensitivity of the first field effect transistor 60A may be reduced without reducing the depletion width.
  • FIGS. 25A - 25E a third exemplary structure according to a third embodiment of the present disclosure is illustrated, which comprises a semiconductor substrate 8 including a semiconductor material layer 10.
  • the semiconductor substrate 8 and the semiconductor material layer 10 may be the same as the first exemplary structure illustrated in FIGS. 1 A and IB.
  • a pad silicon oxide layer (not shown), a pad silicon nitride layer (not shown), and a photoresist layer (not shown) can be sequentially formed over the semiconductor material layer 10.
  • the photoresist layer can be lithographically patterned to form openings in areas that laterally surround active regions, each of which are areas in which a semiconductor device is to be subsequently formed.
  • an active region AR may include an area in which a combination of a source region, a channel region, and a drain region of a field effect transistor is to be subsequently formed.
  • the illustrated region of the third exemplary structure includes an area of a single active region AR, it is understood that the third exemplary structure may comprise additional active regions.
  • the shape of a patterned portion of the photoresist layer may be rectangular.
  • An anisotropic etch process can be performed to transfer the pattern of the openings in the photoresist layer through the pad silicon nitride layer, the pad silicon oxide layer, and an upper portion of the semiconductor material layer 10.
  • Shallow trenches can be formed in volumes from which the material of the semiconductor material layer 10 is etched.
  • the shallow trenches can be interconnected with each other, and laterally surround each of the transistor active regions AR.
  • the transistor active regions AR are portions of the semiconductor material layer 10 that are located above the horizontal plane including the bottom surfaces of the shallow trenches and laterally surrounded by a continuous set of sidewalls of the shallow trenches.
  • each unetched portion of the semiconductor material layer 10 laterally surrounded by the shallow trenches constitutes a transistor active region AR.
  • the photoresist layer can be subsequently removed, for example, by ashing.
  • At least one dielectric fill material can be deposited in the shallow trenches to form a dielectric fill material layer.
  • the at least one dielectric fill material may include undoped silicate glass.
  • the at least one dielectric fill material may be deposited by a conformal deposition process, such as a chemical vapor deposition process.
  • a chemical mechanical planarization process can be performed to remove portions of the dielectric fill material layer from above the horizontal plane including the top surfaces of the pad silicon nitride layer.
  • a recess etch can be performed to vertically recess remaining portions of the dielectric fill material layer such that remaining portions of the dielectric fill material layer have top surfaces at, or about, the horizontal plane including the top surface of the silicon oxide pad layer.
  • Each remaining portion of the dielectric fill material that laterally surrounds a portion of the semiconductor material layer 10 constitutes a shallow trench isolation structure 20.
  • the silicon nitride pad layer can be subsequently removed selective to the silicon oxide pad layer, for example, by a wet etch process employing hot phosphoric acid.
  • a wet etch process employing dilute hydrofluoric acid may be performed to remove the silicon oxide pad layer.
  • An upper portion of each shallow trench isolation structure may be collaterally recessed during removal of the silicon oxide pad layer.
  • a shallow trench isolation structure 20 can be formed in an upper portion of a semiconductor substrate 8.
  • the shallow trench isolation structure 20 laterally surrounds a transistor active region AR, which is a portion of the semiconductor substrate 8.
  • the shallow trench isolation structure 20 comprises a pair of first shallow trench isolation structure walls 21 that laterally extend along the first horizontal direction hdl and contacting the transistor active region AR, and a pair of second shallow trench isolation structure walls 22 that laterally extend along the second horizontal direction hd2 and adjoined to the pair of first shallow trench isolation structure walls 21 and contacting the transistor active region AR.
  • the pair of second shallow trench isolation structure walls 22 may be tapered relative to a vertical plane laterally extending along the second horizontal direction hd2.
  • the vertical extent of the shallow trench isolation structure 20, and/or the depth of the bottom surface of the shallow trench isolation structure 20 relative to the horizontal plane including the top surface of the semiconductor material layer 10, may be in a range from 200 nm to 800 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer (not shown) can be applied over the top surfaces of the transistor active region AR and the shallow trench isolation structure 20, and can be lithographically patterned to form at least one line-shaped opening (i.e., an opening having a uniform width) that laterally extends along the first horizontal direction hdl and extends over(e.g., straddles) the transistor active region AR.
  • An anisotropic etch process can be performed to etch upper portions of the transistor active region AR and underlying portions of the semiconductor material layer 10 that are not masked by the photoresist layer.
  • the chemistry of the anisotropic etch process can be selected such that the anisotropic etch process etches the semiconductor material of the semiconductor material layer 10 selective to the dielectric material (such as silicon oxide) of the shallow trench isolation structure 20.
  • At least one line trench 59 can be formed in volume from which the semiconductor material of the semiconductor material layer 10 is etched.
  • the at least one line trench 59 comprises volumes from which a material of the transistor active region AR is removed.
  • the at least one line trench 59 may comprise a plurality of line trenches 59 that extend (i.e., are elongated) in the first horizontal direction hdl and are laterally spaced apart along the second horizontal direction hd2.
  • the at least one line trench 59 may comprise a single line trench 59, two line trenches 59, three line trenches 59, or four or more line trenches 59.
  • each of at least one line trench 59 laterally extends along the first horizontal direction hdl, and vertically extend from a planar top surface of the transistor active region AR along a vertical direction into the semiconductor substrate 8.
  • the depth of the at least one line trench 59 may be greater than, equal to, or less than, the vertical extent of the shallow trench isolation structure 20.
  • the bottommost surface of each of the at least one line trench 59 may be located below, at, or above, the horizontal plane including the bottom surface of the shallow trench isolation structure 20.
  • the at least one line trench 59 has a greater depth from a horizontal plane including the planar top surface of the transistor active region AR than a bottom surface of the shallow trench isolation structure 20 has from the horizontal plane including the planar top surface of the transistor active region AR.
  • the depth of the at least one line trench 59 i.e., the vertical distance between the bottommost surface of the at least one line trench 59 and the horizontal plane including the top surface of the semiconductor material layer 10, may be in a range from 100 nm to 8,000 nm, such as from 200 nm to 4,000 nm and/or from 300 nm to 2,000 nm, although lesser and greater depths may also be employed.
  • lengthwise sidewalls of the at least one line trench 59 are parallel to the first horizontal direction hdl, and are perpendicular to the second horizontal direction hd2.
  • the at least one line trench 59 can be formed in the transistor active region AR such that the at least one trench 59 laterally extends from a first sidewall 22 of the shallow trench isolation structure 20 and to a second sidewall 22 of the shallow trench isolation structure 20.
  • the first sidewall 22 and the second sidewall 22 of the shallow trench isolation structure 20 laterally extend along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl .
  • each line trench 59 along the first horizontal direction hdl i.e., the lateral distance between the first sidewall and the second sidewall of the shallow trench isolation structure can be in a range from 50 nm to 10,000 nm, such as from 100 nm to 5,000 nm and/or from 200 nm to 3,000 nm and/or from 300 nm to 2,000 nm, although lesser and greater lengths may also be employed.
  • each line trench 59 may be less than the length of the respective line trench 59, and may be in a range from 10 nm to 2,000 nm, such as from 20 nm to 1,000 nm and/or from 40 nm to 600 nm and/or from 60 nm to 400 nm, although lesser and greater lengths may also be employed.
  • the least one line trench 59 laterally extends along the first horizontal direction hdl from one of the first shallow trench isolation structure walls 22 to another of the first shallow trench isolation structure walls 22.
  • sidewall segments of the shallow trench isolation structure 20 are physically exposed to the at least one line trench 59.
  • the pair of physically exposed second shallow trench isolation structure walls 22 may be tapered at a taper angle a relative to a vertical plane laterally extending along the second horizontal direction hd2.
  • boundaries of each of the at least one line trench 59 comprise segments of the pair of second shallow trench isolation structure walls 22.
  • each of the at least one line trench 59 comprises a contoured bottom surface having a concave vertical cross-section profile and laterally extending straight along the first horizontal direction hdl.
  • each of the at least one line trench 59 has a horizontal cross-sectional shape of a respective rectangle within each horizontal plane between a first horizontal plane including of a bottom surface of the shallow trench isolation structure 20 than at a second horizontal plane including a top surface of the shallow trench isolation structure 20.
  • sidewalls 21 and 22 of the shallow trench isolation structure 20 may be tapered with a taper angle a in a range from 0.1 degree to 10 degrees, such as from 0.3 degree to 5 degrees. In one embodiment shown in FIG.
  • the at least one line trench 59 has a greater width along the first horizontal direction hdl at a first horizontal plane hpl including of a bottom surface of the shallow trench isolation structure 20 than at a second horizontal plane hp2 including a top surface of the shallow trench isolation structure 20 due to the taper angle of the sidewalls of the shallow trench isolation structure 20.
  • a gate dielectric layer 50L can be formed over the physically exposed surfaces of the semiconductor material layer 10.
  • the gate dielectric layer 50L includes a dielectric material having a thickness suitable for operation of a high voltage field effect transistor.
  • the gate dielectric layer 50L may be formed by conformal deposition of at least one dielectric material, which may include silicon oxide, silicon oxynitride, and/or a dielectric metal oxide (such as aluminum oxide or a transition metal oxide).
  • the gate dielectric layer 50L can be conformally formed on all physically exposed surfaces of the semiconductor material layer 10, for example, by thermal oxidation of the physically exposed surface portions of the semiconductor material layer 10.
  • the gate dielectric layer can consist essentially of thermal silicon oxide.
  • the gate dielectric layer 50L continuous extends over all surfaces of the at least one line trench 59 and over the top surface of the transistor active regions AR in the semiconductor substrate 8.
  • the gate dielectric layer 50L may consist essentially of a semiconductor oxide of a material of the semiconductor substrate 8, and may have the same thickness throughout.
  • the gate dielectric layer 50L can be formed directly on sidewall segments of the shallow trench isolation structure 20 in the at least one line trench 59 and over a top surface of the transistor active region AR.
  • the thickness of the gate dielectric layer 50L can be in a range from 6 nm to 100 nm, such as from 10 nm to 60 nm, although lesser and greater thicknesses can also be employed.
  • a gate electrode material is deposited over the gate dielectric layer 50L.
  • the gate electrode material includes a semiconductor gate electrode material layer 52L, a metallic gate electrode material layer 54L, and a gate capping dielectric layer 58L which are sequentially deposited over the gate dielectric layer 50L.
  • the gate capping dielectric layer 58L and/or one of the semiconductor gate electrode material layer 52L or the metallic gate electrode material layer 54L may be omitted.
  • the semiconductor gate electrode material layer 52L includes a doped semiconductor material, such as doped polysilicon.
  • the semiconductor gate electrode material layer 52L can be conformally deposited in remaining volumes of the at least one line trench 49 and over the horizontally-extending portions of the gate dielectric layer 50L.
  • the semiconductor gate electrode material layer 52L can be deposited by chemical vapor deposition (CVD).
  • the thickness of the semiconductor gate electrode material layer 52L can be greater than one half of the width of each line trench 59, and the at least one line trench 59 can be completely filled with the combination of the gate dielectric layer 50L and the semiconductor gate electrode material layer 52L.
  • the thickness of the semiconductor gate electrode material layer 52L may be in a range from 30 nm to 1,000 nm such as from 60 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • the metallic gate electrode material layer 54L can be deposited directly on top surfaces of the semiconductor gate electrode material layer 52L.
  • the metallic gate electrode material layer 54L comprises metallic material such as a transition metal (e.g., W), a conductive metallic nitride material (such as TiN, TaN, or WN), or a metal silicide material.
  • the metallic gate electrode material layer 54L may be deposited by physical vapor deposition and/or chemical vapor deposition.
  • the metallic gate electrode material layer 54L can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed. In one embodiment, the metallic gate electrode material layer 54L does not extend into the line trenches 59 and is located entirely above the top surface of the line trenches 59.
  • the gate capping dielectric layer 58L can be subsequently deposited over the metallic gate electrode material layer 54L.
  • the gate capping dielectric layer 58L can include a passivation dielectric material, such as silicon nitride.
  • the gate capping dielectric layer 58L can be deposited, for example, by chemical vapor deposition.
  • the thickness of the gate capping dielectric layer 58L can be in a range from 10 nm to 100 nm, such as from 20 nm to 60 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer (not shown) can be applied over the gate capping dielectric layer 58L, and can be patterned into discrete photoresist material portions by lithographic exposure and development.
  • Each patterned photoresist material portion can have a shape of a respective gate stack to be subsequently formed.
  • the transistor active region AR may have a rectangular horizontal cross- sectional shape.
  • a patterned photoresist material portion may have a rectangular horizontal cross-sectional shape having a greater dimension along the first horizontal direction hdl than the lateral dimension of the underlying transistor active region AR along the first horizontal direction hdl.
  • the lateral dimension of the patterned photoresist material portion may extend over the entire area of the at least one line trench 59.
  • An anisotropic etch process can be performed to sequentially etch the gate capping dielectric layer 58L, the metallic gate electrode material layer 54L, the semiconductor gate electrode material layer 52L, and the gate dielectric layer 50L.
  • the anisotropic etch process may comprise a set of anisotropic etch steps that etches a respective material layer selective to the material of a respective underlying layer.
  • the terminal anisotropic etch step of the anisotropic etch process may anisotropically etch unmasked portions of the gate dielectric layer 50L selective to the material of the semiconductor material layer 10.
  • a contiguous set of remaining portions of the layer stack including the gate capping dielectric layer 58L, the metallic gate electrode material layer 54L, the semiconductor gate electrode material layer 52L, and the gate dielectric layer 50L comprises a gate stack (50, 52, 54, 58).
  • the gate stack (50, 52, 54, 58) includes a gate dielectric 50 that is a patterned portion of the gate dielectric layer 50L, at least one of a semiconductor gate electrode portion 52 that is a patterned portion of the semiconductor gate electrode material layer 52L and a metallic gate electrode portion 54 that is a patterned portion of the metallic gate electrode material layer 54L, and optionally a gate capping dielectric 58 that is a patterned portion of the gate capping dielectric layer 58L.
  • the semiconductor gate electrode portion 52 includes a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F, such as at least two fin portions 52F.
  • the planar semiconductor gate electrode portion 52P is a portion of the semiconductor gate electrode portion 52 that overlies the horizontal plane including the top surface of the gate dielectric 50.
  • Each gate electrode fin portion 52F is a portion of the semiconductor gate electrode portion 52 located in the respective line trench 59 below the horizontal plane including the top surface of the gate dielectric 50.
  • the combination of the semiconductor gate electrode portion 52 and the metallic gate electrode portion 54 constitutes a gate electrode (52, 54).
  • the entire gate electrode may comprise a single conductive material, such as a heavily doped semiconductor material or a metallic material.
  • the gate dielectric 50 comprises vertically-extending portions and a horizontallyextending portion adjoined to an upper end of each of the vertically-extending portions.
  • the gate dielectric 50 is formed on and directly contacts the at least one line trench 59 and a segment of a top surface of the transistor active region AR.
  • the gate dielectric 50 contacts all surfaces of the at least one line trench 59, such as the plurality of line trenches 59.
  • the bottom portions of the gate dielectric located in the line trenches 59 may be horizontal or curved, depending on the shape of the bottom of the line trenches 59.
  • the semiconductor gate electrode portion 52 comprises a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F (such as a plurality of gate electrode fin portions 52F).
  • the gate electrode (52, 54) comprises a planar gate electrode portion (52P, 54) that overlies the transistor active region AR, and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 59.
  • Electrical dopants can be implanted into portions of the semiconductor material layer 10 that are not masked by the gate stacks (50, 52, 54, 58) to form source/drain extension regions (31, 39) (i.e., low doped regions), which may include a source extension region 31 and a drain extension region 39.
  • each of the source/drain extension regions (31, 39) can have a doping of an opposite conductivity type than the conductivity type of a remaining portion of the transistor active region AR on which the source/drain extension regions (31, 39) are formed.
  • the transistor active region AR in the semiconductor material layer 10 has a doping of a first conductivity type
  • the source/drain extension regions (31, 39) have a doping of a second conductivity type that is the opposite of the first conductivity type.
  • the first conductivity type is p- type
  • the second conductivity type is n-type, and vice versa.
  • the atomic concentration of dopants in the source/drain extension regions (31, 39) may be in a range from 1.0 x 10 17 /cm 3 to 1.0 x 10 2 %m 3 , although lesser and greater dopant concentrations may also be employed.
  • a contoured channel region 10C is formed underneath a contoured interface between the semiconductor material layer 10 and the gate dielectric 50. The contoured channel region 10C continuously extends from the source extension region 31 to the drain extension region 39 underneath the planar top surface of the transistor active region AR and underneath the at least one line trench 59.
  • Each of the at least one line trench 59 is laterally spaced from each of the source extension region 31 and the drain extension region 39 by a respective horizontally-extending portion of the contoured channel region 10C underlying the planar top surface of the transistor active region AR.
  • all surfaces of the at least one line trench 59 can be surfaces of the contoured channel region 10C.
  • the gate dielectric 50 can contact all surfaces of the at least one line trench 59, and can extend over an entirety of the contoured channel region 10C.
  • the gate dielectric 50 comprises a plurality of planar gate dielectric portions 50P that overlies the planar top surface of the transistor active region AR and underlies the planar gate electrode portion 52P, and at least one embedded gate dielectric portion 50U (i.e., a U-shaped portion) comprising outer surface segments in contact with the contoured channel region 10C and inner surface segments in contact with the at least one gate electrode fin portion 52F.
  • the gate dielectric 50 contacts a pair of second shallow trench isolation structure walls 22 continuously between a first horizontal plane hpl including of a bottom surface of the shallow trench isolation structure 20 and a second horizontal plane hp2 including a top surface of the shallow trench isolation structure 20.
  • At least one dielectric spacer material layer can be conformally deposited over the gate stack (50, 52, 54, 58).
  • An anisotropic etch process can be performed to remove horizontally-extending portions of the at least one dielectric spacer material layer.
  • the at least one dielectric spacer material layer includes a dielectric material, such as silicon oxide and/or silicon nitride, and may be formed by at least one chemical vapor deposition process such as at least one low pressure chemical vapor deposition (LPCVD) process.
  • a remaining portion of the at least one dielectric spacer material layer comprises a dielectric gate spacer (i.e., sidewall spacer) 56 that laterally surrounds the gate stack (50, 52, 54, 58).
  • Electrical dopants of the second conductivity type can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked by the gate stack (50, 52, 54, 58) and the dielectric gate spacer 56 to form deep source/drain regions (32, 38).
  • the deep source/drain region comprise a deep source region 32 and a deep drain region 38.
  • the atomic concentration of dopants in the deep source/drain regions (32, 38) may be in a range from 1.0 x 10 18 /cm 3 to 2.0 x 10 21 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • the deep source region 32 merges with the source extension region 31 to form a source region (31, 32), and the deep drain region 38 merges with the drain extension region 39 to form a drain region (38, 39).
  • the source region (31, 32) includes a combination of the source extension region 31 and the deep source region 32.
  • the drain region (38, 39) includes a combination of the drain extension region 39 and the deep drain region 38.
  • the semiconductor material layer 10 may include dopants of the first conductivity at an atomic concentration in a range from 1.0 x 10 14 /cm 3 to 1.0 x 10 18 /cm 3 , although lesser and greater dopant concentrations may also be employed.
  • the source region (31, 32) and the drain region (38, 39) are formed in portions of the transistor active region AR that are laterally spaced from each other along the second horizontal direction hd2 by the at least one line trench 59.
  • the source region (32, 32) and the drain region (38, 39) are formed in portions of the transistor active region AR that do not contact, and are laterally offset from, the at least one line trench 59.
  • the source region (31, 32) and the drain region (38, 39) are located in, or on, the transistor active region AR, and are laterally spaced apart along a second horizontal direction hd2.
  • the contoured channel region 10C continuously extends from the source region (31, 32) to the drain region (38, 39) along the second horizontal direction hd2 (i.e., the source to drain direction) underneath the planar top surface of the transistor active region AR and underneath the at least one line trench 59.
  • the least one line trench 59 laterally extends along the first horizontal direction hdl that is perpendicular to a separation direction (i.e., the source to drain direction hd2) between the source region (31, 32) and the drain region (38, 39).
  • the contoured channel region 10C has a doping of a first conductivity type, while the source region (31, 32) and the drain region (38, 39) have a doping a second conductivity type that is an opposite of the first conductivity type.
  • At least one dielectric liner (not shown) can be optionally formed over the physically exposed surfaces of the third exemplary structure by at least one conformal deposition process.
  • the at least one dielectric liner if present, may comprise, for example, a stack of a silicon oxide liner and a silicon nitride liner.
  • a contactlevel dielectric layer 80 can be deposited over the gate stacks, the source/drain regions, the shallow trench isolation structure 20, and the optional at least one dielectric liner.
  • the contact-level dielectric layer 80 comprises a dielectric material, such as silicon oxide.
  • a planarization process such as a chemical mechanical planarization process can be optionally performed to planarize the top surface of the contact-level dielectric layer 80.
  • the vertical distance between the top surface of the contact-level dielectric layer 80 and the top surfaces of the gate capping dielectrics 58 may be in a range from 50 nm to 500 nm, although lesser and greater vertical distances may also be employed.
  • Contact via voids can be formed through the contact-level dielectric layer 80, and can be filled with at least one conductive material. Excess portions of the at least one conductive material can be removed from above the horizontal plane including the top surface of the contact-level dielectric layer 80 by a planarization process, which may include a recess etch process and/or a chemical mechanical planarization process. Each remaining portion of the at least one conductive material constitutes a contact via structure (82, 85, 88).
  • the contact via structures (82, 85, 88) may comprise a source contact via structure 82 contacting the source region (31, 32), a drain contact via structure 88 contacting the drain region (38, 39), and a gate contact via structure 85 contacting the gate electrode (52, 54).
  • a first alternative embodiment of the third exemplary structure can be derived from the third exemplary structure by employing a thermal or plasma conversion process to form the gate dielectric layer 50L.
  • surface portions of the transistor active region AR around the at least one line trench 59 and underneath a top surface of the transistor active region AR can be converted into the gate dielectric layer 50L by thermal oxidation or by plasma oxidation.
  • at least one gate electrode material layer (52L, 54L) can be deposited and patterned over the gate dielectric layer 50L.
  • a patterned portion of the at least one gate electrode material layer (52L, 54L) comprises the gate electrode (52, 54).
  • a patterned portion of the gate dielectric layer 50L constitutes a gate dielectric 50.
  • the gate electrode (52, 54) contacts a pair of second shallow trench isolation structure walls 22.
  • the contact areas between the semiconductor gate electrode portion 52 and a pair of first shallow trench isolation structure walls 22 may vertically extend from the horizontal plane including the top surface of the transistor active region AR to a horizontal plane that is vertically offset upward from the horizontal plane including the bottom surface of the shallow trench isolation structure 20 by the thickness of the gate dielectric 50.
  • the at least one line trench 59 may comprise a single line trench 59, two line trenches 59, or three or more line trenches 59.
  • FIG. 33 a second alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure is illustrated, which employs a single line trench 59 in lieu of a pair of line trenches 59 described above.
  • FIG. 34 a third alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure is illustrated, which employs three line trenches 59 in lieu of a pair of line trenches 59 described above.
  • Embodiments are expressly contemplated herein in which four or more line trenches 59 are employed.
  • a semiconductor structure which comprises: a semiconductor substrate 8 containing a shallow trench isolation structure 20 that laterally surrounds a transistor active region AR that is a portion of the semiconductor substrate 8, and a field effect transistor comprising: at least one line trench 59 vertically extending from a planar top surface of the transistor active region AR into the semiconductor substrate 8; a channel region 10C comprising a portion of the transistor active region AR that laterally surrounds or underlies the at least one line trench 59, a gate dielectric 50 contacting all surfaces of the at least one line trench 59 and comprising a planar gate dielectric portion 50P that extends over a top surface of the channel region, a gate electrode (52, 54) comprising a planar gate electrode portion (52P, 54) that overlies the planar gate dielectric portion and at least one gate electrode fin portion 52F located within the at least one line trench 59, and a source region (31, 32) and a drain region (38
  • the channel region 10C comprises a contoured channel region which continuously extends from the source region (31, 32) to the drain region (38, 39) underneath the planar top surface of the transistor active region AR and underneath the at least one line trench 59.
  • the at least one line trench 59 extends along a first horizontal direction hdl, and the source region (31, 32) is separated from the drain region (38, 39) along a second horizontal direction hd2 which is perpendicular to the first horizontal direction hdl.
  • the gate dielectric 50 contacts all surfaces of the at least one line trench 59 and extends over an entirety of the contoured channel region 10C.
  • the gate dielectric 50 comprises a plurality of planar gate dielectric portions 50P that overlie the planar top surface of the transistor active region AR and underlie the planar gate electrode portion (52P, 54), and at least one embedded gate dielectric portion comprising outer surface segments in contact with the contoured channel region 10C and inner surface segments in contact with the at least one gate electrode fin portion 52F.
  • the shallow trench isolation structure 20 comprises a pair of first shallow trench isolation structure walls 21 that laterally extend along the first horizontal direction hdl and contacting the transistor active region AR and a pair of second shallow trench isolation structure walls 22 that laterally extend along the second horizontal direction hd2 and adjoined to the pair of first shallow trench isolation structure walls 21 and contacting the transistor active region AR; and the least one line trench 59 laterally extends along the first horizontal direction hdl from one of the second shallow trench isolation structure walls 22 to another of the second shallow trench isolation structure walls 22.
  • sidewalls of the at least one line trench 59 are parallel to the first horizontal direction hdl and perpendicular to the second horizontal direction hd2.
  • the at least one line trench 59 comprises a contoured bottom surface having a concave vertical cross-section profile and laterally extending straight along the first horizontal direction hdl.
  • the pair of second shallow trench isolation structure walls 22 is tapered relative to a vertical plane laterally extending along the second horizontal direction hd2; and boundaries of each of the at least one line trench 59 comprise segments of the pair of second shallow trench isolation structure walls 22.
  • each of the at least one line trench 59 has a greater width along the first horizontal direction hdl at a first horizontal plane including of a bottom surface of the shallow trench isolation structure 20 than at a second horizontal plane including a top surface of the shallow trench isolation structure 20.
  • the gate dielectric 50 contacts the pair of second shallow trench isolation structure walls 22 continuously between a first horizontal plane including of a bottom surface of the shallow trench isolation structure 20 and a second horizontal plane including a top surface of the shallow trench isolation structure 20.
  • each of the at least one line trench 59 has a horizontal cross- sectional shape of a respective rectangle within each horizontal plane between a first horizontal plane hpl including of a bottom surface of the shallow trench isolation structure 20 and a second horizontal plane hp2 including a top surface of the shallow trench isolation structure 20.
  • the at least one line trench extends 59 deeper into the semiconductor substrate 8 than the shallow trench isolation structure 20.
  • the at least one line trench 59 comprises a plurality of line trenches 59 that are laterally spaced apart along the first horizontal direction hdl.
  • the plurality of line trenches 59 comprise two line trenches, and a portion of the channel region 10C is located between the two line trenches 59.
  • the at least one line trench 59 is laterally spaced from each of the source region (31, 32) and the drain region (38, 39) by a respective horizontally-extending portion of the channel region 10C underlying the planar top surface of the transistor active region AR.
  • the channel region 10C has a doping of a first conductivity type
  • the source region (32, 32) and the drain region (38, 39) have a doping a second conductivity type that is an opposite of the first conductivity type
  • all surfaces of the at least one line trench 59 are surfaces of the channel region 10C.
  • Some embodiments of the present disclosure can be employed to provide a long channel field effect transistor in which the effect channel length is greater than the physical separation distance between a source region (31, 32) and a drain region (38, 39).
  • Such devices can be advantageously employed to provide a high density array of power field effect transistors in a smaller substrate area than prior art field effect transistors that do not employ at least one line trench 59 to provide effective elongation of a channel length through use of a contoured channel region 10C.
  • the multiple gate electrode fins provide a “multiple gate effect” which improves the transistor ON current because a larger volume of silicon in the channel 10C conducts current, instead of only a surface portion of the channel in transistors with planar gate electrodes.
  • the multiple gate effect also helps reduce the drain-to-source sub-threshold leakage. Specifically, the drain side electric field is shielded by the gate electrode fins and generally does not reach the source side. This helps reduce the total OFF current for a relatively short gate length transistor.

Abstract

A semiconductor structure includes a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a transistor active region, at least one line trench vertically extending into the semiconductor substrate, and a source region and a drain region located in the transistor active region. A contoured channel region continuously extends from the source region to the drain region underneath the at least one line trench. A gate dielectric contacts all surfaces of the at least one line trench and extends over an entirety of the contoured channel region. A gate electrode containing at least one fin portion overlies the gate dielectric

Description

FIELD EFFECT TRANSISTORS WITH GATE FINS AND METHOD OF MAKING THE SAME
RELATED APPLICATIONS
[0001] This application claims the benefit of priority from U.S. Non-Provisional Patent Application No. 17/474,699, filed September 14, 2021; U.S. Non-Provisional Patent Application No. 17/474,760, filed September 14, 2021; and U.S. Non-Provisional continuation-in-part (CIP) Patent Application No. 17/562,635, filed December 27, 2021, the entire contents of which are hereby incorporated by reference for all purposes.
FIELD
[0002] The present disclosure relates generally to the field of semiconductor devices and specifically to field effect transistors including gate fins and methods of making the same.
BACKGROUND
[0003] Prior art field effect transistors often suffer from surface breakdown voltage. Such transistors often have a complex extended low doped drain (LDD) structure to improve surface breakdown characteristics at the expense of process complexity and increased cost.
SUMMARY
[0004] According to an aspect of the present disclosure, a semiconductor structure is provided, which comprises: a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a transistor active region that is a portion of the semiconductor substrate; and a field effect transistor comprising: at least one line trench vertically extending from a planar top surface of the transistor active region into the semiconductor substrate; a channel region comprising a portion of the transistor active region that laterally surrounds or underlies the at least one line trench; a gate dielectric contacting all surfaces of the at least one line trench and comprising a planar gate dielectric portion that extends over a top surface of the channel region; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a source region and a drain region located in the transistor active region and laterally spaced from each other by the channel region.
[0005] According to another aspect of the present disclosure, a method of forming a transistor is provided. The method comprises: forming a shallow trench isolation structure in an upper portion of a semiconductor substrate, wherein the shallow trench isolation structure laterally surrounds a transistor active region; forming at least one line trench in the transistor active region, wherein the at least one trench laterally extends from a first sidewall of the shallow trench isolation structure and to a second sidewall of the shallow trench isolation structure; forming a gate dielectric layer in the at least one line trench and over a top surface of the transistor active region, wherein the gate dielectric layer contacts all surfaces of the at least one line trench; forming a gate electrode over the gate dielectric layer, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within the at least one line trench; and forming a source region and a drain region in portions of the transistor active region that are laterally spaced from each other by the at least one line trench.
[0006] According to an aspect of the present disclosure, a semiconductor structure is provided, which comprises: a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a first transistor active region that is a portion of the semiconductor substrate; and a first field effect transistor that comprises: at least one line trench extending downward from a top surface of the first transistor active region, laterally extending along a first horizontal direction, and located within the transistor active region; a channel region comprising a portion of the first transistor active region that laterally surrounds or underlies the at least one line trench; a gate dielectric contacting all surfaces of the at least one line trench and comprising a planar gate dielectric portion that extends over an entirety of a top surface of the channel region; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within a respective one of the at least one line trench; and a source region and a drain region located in the semiconductor substrate and laterally spaced from each other by the channel region.
[0007] According to another aspect of the present disclosure, a method of forming a semiconductor structure is provided, which comprises: forming at least one line trench in a transistor active region of a semiconductor substrate; forming a gate dielectric in the at least one line trench and over a top surface of the transistor active region, wherein the gate dielectric contacts all surfaces of the at least one line trench; forming a gate electrode over the gate dielectric, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within a respective one of the at least one line trench; and forming a source region and a drain region in portions of the transistor active region that are laterally spaced from each other by a channel region in a plan view.
[0008] According to an aspect of the present disclosure, a field effect transistor comprises: at least one line trench extending downward from a top surface of a first transistor active region of a substrate, and laterally extending along a first horizontal direction; a gate dielectric contacting a bottom surface and sidewalls of each of the at least one line trench and comprising a planar gate dielectric portion overlying the substrate; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a dielectric gate spacer laterally surrounding the gate electrode and comprising at least one pair of downward-protruding portions vertically extending into the at least one line trench.
[0009] According to another aspect of the present disclosure, a method of forming a field effect transistor comprises: forming at least one line trench in a transistor active region in a semiconductor substrate; forming a gate dielectric in the at least one line trench and over a top surface of the semiconductor substrate; forming a gate electrode over the gate dielectric, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within a center portion of the at least one line trench; and forming a dielectric gate spacer around the gate electrode, wherein the dielectric gate spacer comprises downward-protruding portions vertically extending into a respective end portion of the at least one line trench.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] FIG. 1 A is a vertical cross-sectional view of a first exemplary structure after formation of line trenches in a substrate according to a first embodiment of the present disclosure. [0011] FIG. IB is a top-down view of the first exemplary structure of FIG. 1A.
[0012] FIG. 2A is a vertical cross-sectional view of the first exemplary structure after formation of a first gate dielectric layer, a first semiconductor gate electrode material layer, a first silicon oxide capping layer, and a first silicon nitride capping layer according to the first embodiment of the present disclosure.
[0013] FIG. 2B is a top-down view of the first exemplary structure of FIG. 2A.
[0014] FIG. 3 A is a vertical cross-sectional view of the first exemplary structure after patterning the first silicon nitride capping layer, the first silicon oxide capping layer, the first semiconductor gate electrode material layer, and the first gate dielectric layer according to the first embodiment of the present disclosure.
[0015] FIG. 3B is a top-down view of the first exemplary structure of FIG. 3 A.
[0016] FIG. 4A is a vertical cross-sectional view of the first exemplary structure after formation of additional gate dielectric layers, a second semiconductor gate electrode material layer, a second silicon oxide capping layer, and a second silicon nitride capping layer according to the first embodiment of the present disclosure.
[0017] FIG. 4B is a top-down view of the first exemplary structure of FIG. 4A.
[0018] FIG. 5A is a vertical cross-sectional view of the first exemplary structure after patterning the second silicon nitride capping layer and the second silicon oxide capping layer according to the first embodiment of the present disclosure.
[0019] FIG. 5B is a top-down view of the first exemplary structure of FIG. 5 A.
[0020] FIG. 6A is a vertical cross-sectional view of the first exemplary structure after formation of shallow trenches according to the first embodiment of the present disclosure. [0021] FIG. 6B is a top-down view of the first exemplary structure of FIG. 6A.
[0022] FIG. 7A is a vertical cross-sectional view of the first exemplary structure after deposition of a dielectric fill material layer according to the first embodiment of the present disclosure.
[0023] FIG. 7B is a top-down view of the first exemplary structure of FIG. 7A.
[0024] FIG. 8A is a vertical cross-sectional view of the first exemplary structure after deposition of a shallow trench isolation structure according to the first embodiment of the present disclosure.
[0025] FIG. 8B is a top-down view of the first exemplary structure of FIG. 8 A. [0026] FIG. 9A is a vertical cross-sectional view of the first exemplary structure after removal of the silicon nitride capping layers and the silicon oxide capping layers according to the first embodiment of the present disclosure.
[0027] FIG. 9B is a top-down view of the first exemplary structure of FIG. 9A.
[0028] FIG. 10A is a vertical cross-sectional view of the first exemplary structure after formation of a metallic gate electrode material layer and a gate capping dielectric layer according to the first embodiment of the present disclosure.
[0029] FIG. 10B is a top-down view of the first exemplary structure of FIG. 10A.
[0030] FIG. 11 A is a vertical cross-sectional view of the first exemplary structure after formation of gate stacks and source/drain extension regions according to the first embodiment of the present disclosure.
[0031] FIG. 1 IB is a top-down view of the first exemplary structure of FIG. 11 A.
[0032] FIG. 11C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C - C’ of FIG. 1 IB.
[0033] FIG. 1 ID is a vertical cross-sectional view of the first exemplary structure along the vertical plane D - D’ of FIG. 1 IB.
[0034] FIG. 12A is a vertical cross-sectional view of the first exemplary structure after formation of dielectric gate spacers and deep source/drain regions according to the first embodiment of the present disclosure.
[0035] FIG. 12B is a top-down view of the first exemplary structure of FIG. 12A.
[0036] FIG. 12C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C - C’ of FIG. 12B.
[0037] FIG. 12D is a vertical cross-sectional view of the first exemplary structure along the vertical plane D - D’ of FIG. 12B.
[0038] FIG. 13 A is a vertical cross-sectional view of the first exemplary structure after formation of contact via structures according to the first embodiment of the present disclosure.
[0039] FIG. 13B is a top-down view of the first exemplary structure of FIG. 13 A.
[0040] FIG. 13C is a vertical cross-sectional view of the first exemplary structure along the vertical plane C - C’ of FIG. 13B. [0041] FIG. 13D is a vertical cross-sectional view of the first exemplary structure along the vertical plane D - D’ of FIG. 13B.
[0042] FIG. 13E is a vertical cross-sectional view of the first exemplary structure along the vertical plane E - E’ of FIG. 13B.
[0043] FIG. 13F is a vertical cross-sectional view of the first exemplary structure along the vertical plane F - F’ of FIG. 13B.
[0044] FIG. 13G is a vertical cross-sectional view of the first exemplary structure along the vertical plane G - G’ of FIG. 13B.
[0045] FIG. 13H is a vertical cross-sectional view of the first exemplary structure along the vertical plane H - EF of FIG. 13B.
[0046] FIG. 14A is a vertical cross-sectional view of a second exemplary structure after formation of line trenches in a substrate according to a second embodiment of the present disclosure.
[0047] FIG. 14B is a top-down view of the second exemplary structure of FIG. 1 A.
[0048] FIG. 15A is a vertical cross-sectional view of the second exemplary structure after formation of a first gate dielectric layer, a first semiconductor gate electrode material layer, a first silicon oxide capping layer, and a first silicon nitride capping layer according to the second embodiment of the present disclosure.
[0049] FIG. 15B is a top-down view of the second exemplary structure of FIG. 15 A.
[0050] FIG. 16A is a vertical cross-sectional view of the second exemplary structure after formation of additional gate dielectric layers, a second semiconductor gate electrode material layer, a second silicon oxide capping layer, and a second silicon nitride capping layer according to the second embodiment of the present disclosure.
[0051] FIG. 16B is a top-down view of the second exemplary structure of FIG. 16A.
[0052] FIG. 17A is a vertical cross-sectional view of the second exemplary structure after deposition of a shallow trench isolation structure according to the second embodiment of the present disclosure.
[0053] FIG. 17B is a top-down view of the second exemplary structure of FIG. 17A.
[0054] FIG. 18A is a vertical cross-sectional view of the second exemplary structure after formation of a metallic gate electrode material layer and a gate capping dielectric layer according to the second embodiment of the present disclosure. [0055] FIG. 18B is a top-down view of the second exemplary structure of FIG. 18 A.
[0056] FIG. 19A is a vertical cross-sectional view of the second exemplary structure after formation of gate stacks according to the second embodiment of the present disclosure.
[0057] FIG. 19B is a top-down view of the second exemplary structure of FIG. 19A.
[0058] FIG. 19C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 19B.
[0059] FIG. 19D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 19B.
[0060] FIG. 19E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 19B.
[0061] FIG. 19F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 19B.
[0062] FIG. 19G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 19B.
[0063] FIG. 19H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - EF of FIG. 19B.
[0064] FIG. 20A is a vertical cross-sectional view of the second exemplary structure after formation of source/drain extension regions according to the second embodiment of the present disclosure.
[0065] FIG. 20B is a top-down view of the second exemplary structure of FIG. 20A.
[0066] FIG. 20C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 20B.
[0067] FIG. 20D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 20B.
[0068] FIG. 20E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 20B.
[0069] FIG. 20F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 20B.
[0070] FIG. 20G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 20B. [0071] FIG. 20H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - H’ of FIG. 20B.
[0072] FIG. 21 A is a vertical cross-sectional view of the second exemplary structure after formation of dielectric gate spacers and deep source/drain regions according to the second embodiment of the present disclosure.
[0073] FIG. 21B is a top-down view of the second exemplary structure of FIG. 21 A.
[0074] FIG. 21C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 2 IB.
[0075] FIG. 2 ID is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 21B.
[0076] FIG. 2 IE is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 21B.
[0077] FIG. 2 IF is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 21B.
[0078] FIG. 21G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 21B.
[0079] FIG. 21H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - EF of FIG. 21B.
[0080] FIG. 22A is a vertical cross-sectional view of the second exemplary structure after formation of contact via structures according to the second embodiment of the present disclosure.
[0081] FIG. 22B is a top-down view of the second exemplary structure of FIG. 22A.
[0082] FIG. 22C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 22B.
[0083] FIG. 22D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 22B.
[0084] FIG. 22E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 22B.
[0085] FIG. 22F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 22B. [0086] FIG. 22G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 22B.
[0087] FIG. 22H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - FF of FIG. 22B.
[0088] FIG. 23 A is a vertical cross-sectional view of an alternative embodiment of the second exemplary structure after formation of contact via structures according to the second embodiment of the present disclosure.
[0089] FIG. 23B is a top-down view of the second exemplary structure of FIG. 23 A.
[0090] FIG. 23C is a vertical cross-sectional view of the second exemplary structure along the vertical plane C - C’ of FIG. 23B.
[0091] FIG. 23D is a vertical cross-sectional view of the second exemplary structure along the vertical plane D - D’ of FIG. 23B.
[0092] FIG. 23E is a vertical cross-sectional view of the second exemplary structure along the vertical plane E - E’ of FIG. 23B.
[0093] FIG. 23F is a vertical cross-sectional view of the second exemplary structure along the vertical plane F - F’ of FIG. 23B.
[0094] FIG. 23G is a vertical cross-sectional view of the second exemplary structure along the vertical plane G - G’ of FIG. 23B.
[0095] FIG. 23H is a vertical cross-sectional view of the second exemplary structure along the vertical plane H - EF of FIG. 23B.
[0096] FIG. 24 is a vertical cross-sectional view of another alternative embodiment of the second exemplary structure after formation of contact via structures according to the second embodiment of the present disclosure.
[0097] FIG. 25A is a vertical cross-sectional view of a third exemplary structure after formation of a shallow trench isolation structure according to a third embodiment of the present disclosure.
[0098] FIG. 25B is a top-down view of the third exemplary structure of FIG. 25 A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 25 A.
[0099] FIGS. 25C - 25E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 25B, respectively. [0100] FIG. 26A is a vertical cross-sectional view of the third exemplary structure after formation of line trenches according to the third embodiment of the present disclosure.
[0101] FIG. 26B is a top-down view of the third exemplary structure of FIG. 26A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 26A.
[0102] FIGS. 26C - 26E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 26B, respectively.
[0103] FIG. 27A is a vertical cross-sectional view of the third exemplary structure after formation of a gate dielectric layer according to the third embodiment of the present disclosure.
[0104] FIG. 27B is a top-down view of the third exemplary structure of FIG. 27A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 27A.
[0105] FIGS. 27C - 27E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 27B, respectively.
[0106] FIG. 28A is a vertical cross-sectional view of the third exemplary structure after formation of gate electrode material layers and a gate cap dielectric layer according to the third embodiment of the present disclosure.
[0107] FIG. 28B is a top-down view of the third exemplary structure of FIG. 28 A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 28 A.
[0108] FIGS. 28C - 28E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 28B, respectively.
[0109] FIG. 29A is a vertical cross-sectional view of the third exemplary structure after formation of source/drain extension regions according to the third embodiment of the present disclosure.
[0110] FIG. 29B is a top-down view of the third exemplary structure of FIG. 29A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 29A.
[OHl] FIGS. 29C - 29E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 29B, respectively.
[0112] FIG. 30A is a vertical cross-sectional view of the third exemplary structure after formation of dielectric gate spacers and deep source/drain regions according to the third embodiment of the present disclosure. [0113] FIG. 30B is a top-down view of the third exemplary structure of FIG. 30A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 30A.
[0114] FIGS. 30C - 30E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 30B, respectively.
[0115] FIG. 31 A is a vertical cross-sectional view of the third exemplary structure after formation of a contact-level dielectric layer and contact via structures according to the third embodiment of the present disclosure.
[0116] FIG. 3 IB is a top-down view of the third exemplary structure of FIG. 31 A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 31 A.
[0117] FIGS. 31C - 3 IE are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 3 IB, respectively.
[0118] FIG. 32A is a vertical cross-sectional view of a first alternative embodiment of the third exemplary structure after formation of a contact-level dielectric layer and contact via structures according to the third embodiment of the present disclosure.
[0119] FIG. 32B is a top-down view of the third exemplary structure of FIG. 32A. The plane A - A’ is the plane of the vertical cross-sectional view of FIG. 32A.
[0120] FIGS. 32C - 32E are vertical cross-sectional view of the third exemplary structure along the vertical planes C - C’, D - D’, and E - E’ of FIG. 32B, respectively.
[0121] FIG. 33 is a vertical cross-sectional view of a second alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure.
[0122] FIG. 34 is a vertical cross-sectional view of a third alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure.
DETAILED DESCRIPTION
[0123] Embodiments of the present disclosure are directed to field effect transistors including gate fins and methods of making the same, the various aspects of which are now described in detail.
[0124] The drawings are not drawn to scale. Multiple instances of an element may be duplicated where a single instance of the element is illustrated, unless absence of duplication of elements is expressly described or clearly indicated otherwise. Ordinals such as “first,” “second,” and “third” are employed merely to identify similar elements, and different ordinals may be employed across the specification and the claims of the instant disclosure. The term “at least one” element refers to all possibilities including the possibility of a single element and the possibility of multiple elements.
[0125] The same reference numerals refer to the same element or similar element. Unless otherwise indicated, elements having the same reference numerals are presumed to have the same composition and the same function. Unless otherwise indicated, a “contact” between elements refers to a direct contact between elements that provides an edge or a surface shared by the elements. If two or more elements are not in direct contact with each other or among one another, the two elements are “disjoined from” each other or “disjoined among” one another. As used herein, a first element located “on” a second element can be located on the exterior side of a surface of the second element or on the interior side of the second element. As used herein, a first element is located “directly on” a second element if there exist a physical contact between a surface of the first element and a surface of the second element. As used herein, a first element is “electrically connected to” a second element if there exists a conductive path consisting of at least one conductive material between the first element and the second element. As used herein, a “prototype” structure or an “in-process” structure refers to a transient structure that is subsequently modified in the shape or composition of at least one component therein.
[0126] As used herein, a “layer” refers to a material portion including a region having a thickness. A layer may extend over the entirety of an underlying or overlying structure, or may have an extent less than the extent of an underlying or overlying structure. Further, a layer may be a region of a homogeneous or inhomogeneous continuous structure that has a thickness less than the thickness of the continuous structure. For example, a layer may be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure. A layer may extend horizontally, vertically, and/or along a tapered surface. A substrate may be a layer, may include one or more layers therein, or may have one or more layer thereupon, thereabove, and/or therebelow.
[0127] As used herein, a “layer stack” refers to a stack of layers. As used herein, a “line” or a “line structure” refers to a layer that has a predominant direction of extension, i.e., having a direction along which the layer extends the most. [0128] As used herein, a “semiconducting material” refers to a material having electrical conductivity in the range from 1.0 x 10'6 S/cm to 1.0 x 105 S/cm. As used herein, a “semiconductor material” refers to a material having electrical conductivity in the range from 1.0 x 10'6 S/cm to 1.0 x 105 S/cm in the absence of electrical dopants therein, and is capable of producing a doped material having electrical conductivity in a range from 1.0 S/cm to 1.0 x 105 S/cm upon suitable doping with an electrical dopant. As used herein, an “electrical dopant” refers to a p-type dopant that adds a hole to a valence band within a band structure, or an n-type dopant that adds an electron to a conduction band within a band structure. As used herein, a “conductive material” refers to a material having electrical conductivity greater than 1.0 x 105 S/cm. As used herein, an “insulator material”, “insulating material” or a “dielectric material” refers to a material having electrical conductivity less than 1.0 x 10'6 S/cm. As used herein, a “heavily doped semiconductor material” refers to a semiconductor material that is doped with electrical dopant at a sufficiently high atomic concentration to become a conductive material, i.e., to have electrical conductivity greater than 1.0 x 105 S/cm. A “doped semiconductor material” may be a heavily doped semiconductor material, or may be a semiconductor material that includes electrical dopants (i.e., p-type dopants and/or n-type dopants) at a concentration that provides electrical conductivity in the range from 1.0 x 10'6 S/cm to 1.0 x 105 S/cm. An “intrinsic semiconductor material” refers to a semiconductor material that is not doped with electrical dopants. Thus, a semiconductor material may be semiconducting or conductive, and may be an intrinsic semiconductor material or a doped semiconductor material. A doped semiconductor material can be semiconducting or conductive depending on the atomic concentration of electrical dopants therein. As used herein, a “metallic material” refers to a conductive material including at least one metallic element therein. All measurements for electrical conductivities are made at the standard condition.
[0129] As used herein, a “field effect transistor” refers to any semiconductor device having a semiconductor channel through which electrical current flows with a current density modulated by an external electrical field. As used herein, a “channel region” refers to a semiconductor region in which mobility of charge carriers is affected by an applied electrical field. A “gate electrode” refers to a conductive material portion that controls electron mobility in the channel region by application of an electrical field. A “deep source region” refers to a doped semiconductor region that supplies charge carriers that flow through the channel region. A “deep drain region” refers to a doped semiconductor region that receives charge carriers supplied by the source region and passes through the channel region. A “deep source/drain region” refers to a deep source region of a field effect transistor or a deep drain region of a field effect transistor. A “source extension region” refers to a doped semiconductor region having a lesser dopant concentration than, and having a same type of doping as, a source region and including a portion disposed between the deep source region and the channel region. A “drain extension region” refers to a doped semiconductor region having a lesser dopant concentration than, and having a same type of doping as, a deep drain region and including a portion disposed between the drain region and the channel region. A “source/drain extension region” refers to a source extension region or a drain extension region. A source region refers to a deep source region and any source extension region that is adjoined to the deep source region. A drain region refers to a deep drain region and any drain extension region that is adjoined to the deep source region. A source/drain region refers to a source region or a drain region.
[0130] Referring to FIGS. 1 A and IB, a first exemplary structure according to a first embodiment of the present disclosure is illustrated. The first exemplary structure may include plural device regions, which can include, for example, a first device region A, a second device region B, a third device region C, and a fourth device region D located over a semiconductor substrate 8. As used herein, a “semiconductor substrate” refers to a substrate that includes at least one semiconductor material portion, i.e., at least one portion of a semiconductor material. In one embodiment, a field effect transistor including at least one gate fin and a thick gate dielectric may be formed in the first device region A, a planar field effect transistor including a thick gate dielectric may be formed in the second device region B, a planar field effect transistor including a medium thickness gate dielectric may be formed in the third device region C, and a planar field effect transistor including a thin gate dielectric may be formed in the fourth device region D.
[0131] In one embodiment, four device regions A - D may comprise peripheral (i.e., driver) transistor regions of a driver circuit of a three dimensional memory device, such as a three dimensional NAND memory device containing a plurality of vertical NAND strings. The memory device may be grown layer by layer over the driver circuit or may be formed on a separate memory substrate and then bonded to the driver circuit.
[0132] The first device region A may comprise a word line switching region containing word line switching transistors which are configured to switch (i.e., turn on and off) the word lines of the memory device. The second through fourth device regions B - D may comprise bit line driver circuit and other peripheral transistors, such as sense amplifier transistors. For example, the second device region B may comprise a high voltage transistor region, the third device region C may comprise a low voltage transistor region and the fourth region D may comprise a very low voltage device region.
[0133] While formation of a single transistor in each of the four device regions A - D is described below for illustration, it should be noted that each of the four device regions A - D contains a plurality of transistors of the same or different conductivity types. For example, the third and fourth regions C and D may include p-type and n-type transistors in a CMOS configuration. However, formation of CMOS devices is omitted from the description below for simplicity. Each of the device regions (A, B, C, D) includes a respective portion of the semiconductor substrate 8, which is herein referred to as a respective transistor active region. Generally, a source region, a channel region, and a drain region can be formed within each transistor active region.
[0134] The semiconductor substrate 8 includes a semiconductor material layer 10. The semiconductor substrate 8 may optionally include at least one additional material layer at a bottom portion thereof. In one embodiment, the semiconductor substrate 8 can be a bulk semiconductor substrate consisting of the semiconductor material layer 10 (e.g., single crystal silicon wafer), or can be a semiconductor-on-insulator (SOI) substrate including a buried insulator layer (such as a silicon oxide layer) underlying the semiconductor material layer 10, and a handle substrate underlying the buried insulator layer. Alternatively, the semiconductor material layer 10 may comprise an epitaxial semiconductor (e.g., single crystal silicon) layer deposited on a semiconductor substrate (e.g., silicon wafer) 8 or may comprise a doped well (e.g., doped silicon well) in the upper portions of the semiconductor substate (e.g., silicon wafer) 8.
[0135] The semiconductor material layer 10 can include a lightly doped semiconductor material portion (e.g., silicon portion) on which at least one field effect transistor can be formed. In one embodiment, the entirety of the semiconductor material in the semiconductor material layer 10 may include the lightly doped semiconductor material. In another embodiment, the lightly doped semiconductor material can be a semiconductor well embedded within another semiconductor material having a different dopant concentration and optionally, a doping of the opposite conductivity type. The dopant concentration of the lightly doped semiconductor material portion may be optimized for a body region of the at least one field effect transistor to be subsequently formed. For example, the lightly doped semiconductor material portion may include electrical dopants at an atomic concentration in a range from 1.0 x 1014/cm3 to 1.0 x 1018/cm3, such as from 1.0 x 1015/cm3 to 1.0 x 1017/cm3, although lesser and greater atomic concentrations can also be employed. The conductivity type of the portion of the semiconductor material layer 10 to be subsequently employed as a body region of a field effect transistor is herein referred to as a first conductivity type, which may be p-type for an n-type field effect transistor or n-type for a p-type field effect transistor. [0136] The semiconductor material of the semiconductor material layer 10 can be an elemental semiconductor material (such as silicon) or an alloy of at least two elemental semiconductor materials (such as a silicon-germanium alloy), or can be a compound semiconductor material (such as a III-V compound semiconductor material or a II- VI compound semiconductor material), or can be an organic semiconductor material. The thickness of the semiconductor material layer 10 can be in a range from 0.5 mm to 2 mm in case the semiconductor material layer 10 is a bulk semiconductor substrate. In case the semiconductor material layer 10 is a semiconductor-on-insulator substrate, the thickness of the top semiconductor material layer within the semiconductor material layer 10 may be in a range from 100 nm to 1,000 nm, although lesser and greater thicknesses can also be employed.
[0137] A photoresist layer (not shown) can be applied over the semiconductor substrate 8, and can be patterned by lithographic exposure and development. The pattern of the openings in the photoresist layer can include at least one rectangular opening, such as a plurality of openings (e.g., 2 to 8, such as 4 to 6 openings) located within the first device region A. Each of the openings may have a pair of lengthwise edges that laterally extend along a first horizontal direction hdl and a pair of widthwise edges that laterally extend along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl. [0138] The pattern in the photoresist layer can be transferred into an upper portion of the transistor active region in the first device region A by performing an anisotropic etch process. Portions of the transistor active region in the first device region A that are not masked by the photoresist layer can be anisotropically etched to form at least one line trench 49. Each of the at least one line trench 49 is formed underneath a respective rectangular opening in the photoresist layer.
[0139] The at least one line trench 49 extends downward from the top surface of the first transistor active region, laterally extends along the first horizontal direction hdl, and is located within the transistor active region of the first device region A. In one embodiment, the at least one line trench 49 comprises a plurality of line trenches 49 (e.g., 2 to 8, such as 4 to 6 trenches) including a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced apart along the second horizontal direction hd2. In one embodiment, each of plurality of line trenches 49 comprises a respective upper periphery that coincides with a periphery of a respective opening in the top surface of the transistor active region, which is a portion of the top surface of the semiconductor substrate 8.
[0140] In one embodiment, each line trench 49 of the plurality of line trenches 49 may have a trench length LT along the first horizontal direction hdl and a trench width WT along the second horizontal direction hd2. The trench length LT may be in a range from 10 nm to 1,000 nm, such as from 30 nm to 300 nm, although lesser and greater trench lengths LT may also be employed. The trench width WT may be in a range from 3 nm to 300 nm, such as from 10 nm to 100 nm, although lesser and greater trench widths WT may also be employed. The plurality of the line trenches 49 may be laterally spaced from each other by a trench spacing ST, which is the distance between lengthwise sidewalls of a neighboring pair of line trenches 49 that are laterally spaced apart along the second horizontal direction hd2. The ratio of the trench length LT to the trench width WT may be in a range from 1.0 to 30, such as from 3 to 10, although lesser and greater ratios may also be employed. The trench spacing ST may be in a range from 3 nm to 300 nm, such as from 10 nm to 200 nm, although lesser and greater trench widths WT may also be employed. Each of the line trenches 49 may have a trench depth DT, which may be less than, the same as, or greater than, the depth of the bottom surfaces of the shallow trench isolation structures to be subsequently formed. In one embodiment, the trench depth DT may be in a range from 10 nm to 1,000 nm, such as from 30 nm to 300 nm, although lesser and greater trench lengths LT may also be employed. In case the at least one line trench 49 is formed as a plurality of line trenches 49, the total number of line trenches 49 may be in a range from 2 to 8, such as from 4 to 6, although a greater number of line trenches 49 may also be employed. The widthwise sidewalls of the plurality of line trenches 49 may be aligned along the second horizontal direction hd2. In this case, the widthwise sidewalls of the plurality of line trenches 49 may be located within two vertical planes that laterally extend along the second horizontal direction hd2 and laterally spaced apart along the first horizontal direction by the trench length LT.
[0141] Referring to FIGS. 2A and 2B, a first gate dielectric layer 50L, a first semiconductor gate electrode material layer 52L, a first silicon oxide capping layer 42A, and a first silicon nitride capping layer 44A can be sequentially deposited over the semiconductor substrate 8.
[0142] The first gate dielectric layer 50L includes a dielectric material having a thickness suitable for operation of a high voltage field effect transistor. The first gate dielectric layer 50L can be conformally formed on all physically exposed surfaces of the semiconductor material layer 10, for example, by thermal oxidation of the physically exposed surface portions of the semiconductor material layer 10. If the semiconductor material layer 10 includes single crystalline silicon, the first gate dielectric layer can consist essentially of thermal silicon oxide. The first gate dielectric layer 50L continuous extends over all surfaces of the at least one line trench 49 and over the top surface of the transistor active regions of the semiconductor substrate 8. In one embodiment, the first gate dielectric layer 50L may consist essentially of a semiconductor oxide of a material of the semiconductor substrate 8, and may have the same thickness throughout. The thickness of the first gate dielectric layer 50L can be in a range from 6 nm to 100 nm, such as from 10 nm to 60 nm, although lesser and greater thicknesses can also be employed.
[0143] The first semiconductor gate electrode material layer 52L includes a doped semiconductor material, such as doped polysilicon. The first semiconductor gate electrode material layer 52L can be conformally deposited in remaining volumes of the at least one line trench 49 and over the horizontally-extending portions of the first gate dielectric layer 50L. For example, the first semiconductor gate electrode material layer 52L can be deposited by chemical vapor deposition (CVD). The thickness of the first semiconductor gate electrode material layer 52L can be greater than one half of the trench width WT, and the at least one line trench 49 can be filled with the combination of the first gate dielectric layer 50L and the first semiconductor gate electrode material layer 52L. In one embodiment, the thickness of the first semiconductor gate electrode material layer 52L may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
[0144] Each portion of the first semiconductor gate electrode material layer 52L located within a line trench 49 comprise a gate electrode fin portion 52F, which is a fin-shaped portion of a respective gate electrode to be subsequently formed. Each gate electrode fin portion 52F may have a rectangular horizontal cross-sectional area, and is located within a respective one of the at least one line trench 49.
[0145] The first silicon oxide capping layer 42A comprises a silicon oxide material, such as undoped silicate glass. The first silicon oxide capping layer 42A may be deposited, for example, by chemical vapor deposition. The thickness of the first silicon oxide capping layer 42 A may be in a range from 10 nm to 100 nm, although lesser and greater thicknesses may also be employed.
[0146] The first silicon nitride capping layer 44 A comprises silicon nitride. The first silicon nitride capping layer 44A may be deposited, for example, by chemical vapor deposition. The thickness of the first silicon nitride capping layer 44A may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
[0147] Referring to FIGS. 3A and 3B, a photoresist layer (not shown) can be applied over the first silicon nitride capping layer 44A, and can be lithographically patterned to cover the first device region A and the second device region B (i.e., the two high voltage regions), and not to cover the third device region C or the fourth device region D (i.e., the low and very low voltage regions). A sequence of etch processes may be performed to remove unmasked portions of the first silicon nitride capping layer 44A, the first silicon oxide capping layer 42A, the first semiconductor gate electrode material layer 52L, and the first gate dielectric layer 50L. The sequence of etch process may comprise at least one isotropic etch process (such as at least one wet etch process) and/or at least one anisotropic etch process (such as at least one reactive ion etch process). The etch process that removes the unmasked portions of the first gate dielectric layer 50L can be selective to the semiconductor material of the semiconductor substrate 8. The photoresist layer can be subsequently removed, for example, by ashing.
[0148] Referring to FIGS. 4A and 4B, additional gate dielectric layers (150L, 250L) can be formed on the top surfaces of the transistor active regions of the third device region C and the fourth device region D. In an illustrative example, a second gate dielectric layer 150L can be formed on the top surfaces of the transistor active regions of the third device region C and the fourth device region D, for example, by thermal oxidation of physically exposed surface regions of the semiconductor substrate 8. Portions of the second gate dielectric layer 150L can be removed from the fourth device region D, and a third gate dielectric layer 250L can be formed on the top surface of the transistor active region of the fourth device region D, for example, by thermal oxidation and/or by deposition of a high dielectric constant gate dielectric material. In one embodiment, the third gate dielectric layer 250L can be thinner than the second gate dielectric layer 150L, and the second gate dielectric layer 150L may be thinner than the first gate dielectric layer 50L.
[0149] A second semiconductor gate electrode material layer 53L, a second silicon oxide capping layer 42B, and a second silicon nitride capping layer 44B can be sequentially deposited over the semiconductor substrate 8 and over the first silicon nitride capping layer 44A.
[0150] The second semiconductor gate electrode material layer 53L includes a doped semiconductor material, such as doped polysilicon. The second semiconductor gate electrode material layer 53L can be deposited directly on top surfaces of the additional gate dielectric layers (150L, 250L). The material composition and the dopant concentration of the second semiconductor gate electrode material layer 53L can be optimized for performance of the transistors to be subsequently formed in the third device region C and in the fourth device region D. Generally, the second semiconductor gate electrode material layer 53L and the first semiconductor gate electrode material layer 52L may have the same material composition, or may have different material compositions. The thickness of the second semiconductor gate electrode material layer 53L can be about the same as the thickness of the first semiconductor gate electrode material layer 52L. In one embodiment, the thickness of the second semiconductor gate electrode material layer 53L may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed. [0151] The second silicon oxide capping layer 42B comprises a silicon oxide material such as undoped silicate glass. The second silicon oxide capping layer 42B may be deposited, for example, by chemical vapor deposition. The thickness of the second silicon oxide capping layer 42B may be about the same as the thickness of the first silicon oxide capping layer 42A, and may be in a range from 10 nm to 100 nm, although lesser and greater thicknesses may also be employed.
[0152] The second silicon nitride capping layer 44B comprises silicon nitride. The second silicon nitride capping layer 44A may be deposited, for example, by chemical vapor deposition. The thickness of the second silicon nitride capping layer 44B may be about the same as the thickness of the first silicon nitride capping layer 44A, and may be in a range from 30 nm to 300 nm, although lesser and greater thicknesses may also be employed.
[0153] Referring to FIGS. 5A and 5B, a photoresist layer (not shown) can be applied over the first exemplary structure, and can be lithographically patterned to cover the third device region C and the fourth device region D without covering the first device region A or the second device region B. A sequence of etch processes can be performed to remove portions of the second silicon nitride capping layer 44B, the second silicon oxide capping layer 42B, and the second semiconductor gate electrode material layer 53L that overlie the first silicon nitride capping layer 44A in the first device region A and the second device region B. The photoresist layer can be subsequently removed, for example, by ashing.
[0154] Referring to FIGS. 6A and 6B, a photoresist layer 17 can be applied over the first exemplary structure, and can be lithographically patterned into discrete photoresist material portions that overlie the area of a respective transistor active region that defines the area of a respective combination of a source region, a channel region, and a drain region of a respective field effect transistor. The patterned portion of the photoresist layer 17 located within the first device region A covers the entirety of the area of the at least one line trench 49.
[0155] An anisotropic etch process can be performed to etch shallow trenches 19 that vertically extend through the silicon nitride capping layers (44A, 44B), the silicon oxide capping layers (42A, 42B), the semiconductor gate electrode material layers (52L, 53L), and the gate dielectric layers (50L, 150L, 250L), and into an upper portion of the semiconductor material layer 10. The photoresist layer 17 can be employed as an etch mask layer during the anisotropic etch process. The depth of the shallow trenches 19, as measured from the horizontal plane including the top surface of the semiconductor material layer 10, can be in a range from 100 nm to 2,000 nm, such as from 200 nm to 1,000 nm , although lesser and greater depths may also be employed.
[0156] The shallow trenches 19 can be interconnected with each other, and laterally surround each of the transistor active regions. The transistor active regions are portions of the semiconductor material layer 10 that are located above the horizontal plane including the bottom surfaces of the shallow trenches and laterally surrounded by a continuous set of sidewalls of the shallow trenches 19. In other words, each unetched portion of the semiconductor material layer 10 laterally surrounded by the shallow trenches 19 constitutes a transistor active region. The photoresist layer 17 can be subsequently removed, for example, by ashing.
[0157] Referring to FIGS. 7A and 7B, at least one dielectric fill material can be deposited in the shallow trenches 19 to form a dielectric fill material layer 20L. The at least one dielectric fill material may include undoped silicate glass. The at least one dielectric fill material may be deposited by a conformal deposition process, such as a chemical vapor deposition process.
[0158] Referring to FIGS. 8A and 8B, a chemical mechanical planarization process can be performed to remove portions of the dielectric fill material layer 20L from above the horizontal plane including the top surfaces of the silicon nitride capping layers (44A, 44B). Remaining portions of the dielectric fill material layer 20L constitute a shallow trench isolation structure 20. The shallow trench isolation structure 20 can be subsequently vertically recessed so that the top surface of the shallow trench isolation structure 20 are formed at about the horizontal plane including the bottom surfaces of the silicon nitride capping layers (44 A, 44B).
[0159] Referring to FIGS. 9A and 9B, the silicon nitride capping layers (44A, 44B) can be removed selective to the shallow trench isolation structure 20 and the silicon oxide capping layers (42A, 42B). For example, a wet etch process employing hot phosphoric acid can be performed to remove the silicon nitride capping layers (44A, 44B). Subsequently, an etch process that etches the silicon oxide material of the silicon oxide capping layers (42A, 42B) can be performed to remove the silicon oxide capping layers (42A, 42B) selective to the materials of the semiconductor gate electrode material layers (52L, 53L). In one embodiment, the etch process may comprise a wet etch process employing dilute hydrofluoric acid. The top surface of the shallow trench isolation structure 20 can be collaterally vertically recessed during the etch process. In one embodiment, the top surface of the shallow trench isolation structure 20 can be located about the height of the top surfaces of the semiconductor gate electrode material layers (52L, 53L). The shallow trench isolation structure 20 can be formed through the semiconductor gate electrode material layers (52L, 53L) and the gate dielectric material layers (50L, 150L, 250L) and into an upper portion of the semiconductor substrate 8.
[0160] Referring to FIGS. 10A and 10B, a metallic (i.e., electrically conductive) gate electrode material layer 54L can be deposited directly on top surfaces of the semiconductor gate electrode material layers (52L, 53L). The metallic gate electrode material layer 54L comprises metallic material such as a transition metal, a conductive metallic nitride material (such as TiN, TaN, or WN), or metal silicide material. The metallic gate electrode material layer 54L may be deposited by physical vapor deposition and/or chemical vapor deposition. The metallic gate electrode material layer 54L can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed.
[0161] A gate capping dielectric layer 58L can be subsequently deposited over the metallic gate electrode material layer 54L. The gate capping dielectric layer 58L can include a passivation dielectric material, such as silicon nitride. The gate capping dielectric layer 58L can be deposited, for example, by chemical vapor deposition. The thickness of the gate capping dielectric layer 58L can be in a range from 10 nm to 100 nm, such as from 20 nm to 60 nm, although lesser and greater thicknesses may also be employed.
[0162] Referring to FIGS. 11 A - 1 ID, a photoresist layer (not shown) can be applied over the gate capping dielectric layer 58L, and can be patterned into discrete photoresist material portions by lithographic exposure and development. Each patterned photoresist material portion can have a shape of a respective gate stack to be subsequently formed. In one embodiment, the transistor active regions may have a respective rectangular horizontal cross-sectional shape having a respective pair of lengthwise sidewalls along the first horizontal direction hdl and a respective pair of widthwise sidewalls along the second horizontal direction hd2. In this case, each patterned photoresist material portion may have a rectangular horizontal cross-sectional shape having a lesser dimension along the first horizontal direction hdl than the length of a respective underlying transistor active region, and having a greater dimension along the second horizontal direction hd2 than the width of the respective underlying transistor active region.
[0163] A first gate stack (50A, 52A, 54A, 58A) can be formed in the first device region A. A second gate stack (50B, 52B, 54B, 58B) can be formed in the second device region B. A third gate stack (50C, 52C, 54C, 58C) can be formed in the third device region C. A fourth gate stack (50D, 52D, 54D, 58D) can be formed in the fourth device region D. The first gate stack (50A, 52A, 54A, 58 A) includes a first gate dielectric 50A that is a first patterned portion of the first gate dielectric layer 50L, a first semiconductor gate electrode portion 52A that is a patterned portion of the first semiconductor gate electrode material layer 52L including a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portions 52F, a first metallic gate electrode portion 54A that is a patterned portion of the metallic gate electrode material layer 54L, and a first gate capping dielectric 58A that is a patterned portion of the gate capping dielectric layer 58L. The second gate stack (50B, 52B, 54B, 58B) includes a second gate dielectric 50B that is a second patterned portion of the first gate dielectric layer 50L, a second semiconductor gate electrode portion 52B that is a patterned portion of the first semiconductor gate electrode material layer 52L, a second metallic gate electrode portion 54B that is a patterned portion of the metallic gate electrode material layer 54L, and a second gate capping dielectric 58B that is a patterned portion of the gate capping dielectric layer 58L. The third gate stack (50C, 52C, 54C, 58C) includes a third gate dielectric 50C that is a patterned portion of the second gate dielectric layer 150L, a third semiconductor gate electrode portion 52C that is a patterned portion of the second semiconductor gate electrode material layer 53L, a third metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a third gate capping dielectric 58C that is a patterned portion of the gate capping dielectric layer 58L. The fourth gate stack (50D, 52D, 54D, 58D) includes a fourth gate dielectric 50D that is a patterned portion of the third gate dielectric layer 250L, a fourth semiconductor gate electrode portion 52D that is a patterned portion of the second semiconductor gate electrode material layer 53L, a fourth metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a fourth gate capping dielectric 58D that is a patterned portion of the gate capping dielectric layer 58L. Dummy gate stacks (54E, 58E) may be optionally formed over areas of the shallow trench isolation structure 20. In this case, each dummy gate stack (54E, 58E) may comprise a stack of a dummy metallic gate electrode 54E and a dummy gate capping dielectric 58E.
[0164] The combination of the first semiconductor gate electrode portion 52A and the first metallic gate electrode portion 54A constitutes a first gate electrode (52A, 54A). The combination of the second semiconductor gate electrode portion 52B and the second metallic gate electrode portion 54B constitutes a second gate electrode (52B, 54B). The combination of the third semiconductor gate electrode portion 52C and the third metallic gate electrode portion 54C constitutes a third gate electrode (52C, 54C). The combination of the fourth semiconductor gate electrode portion 52D and the fourth metallic gate electrode portion 54D constitutes a fourth gate electrode (52D, 54D).
[0165] The first gate dielectric 50A comprises vertically-extending portions and a horizontally-extending portion adjoined to an upper end of each of the vertically-extending portions. The first gate dielectric 50A is formed on and directly contacts the at least one line trench 49 and a segment of a top surface of the first transistor active region located in the first device region A. In one embodiment, the first gate dielectric 50A contacts all surfaces of the at least one line trench 49, such as the plurality of line trenches 49.
[0166] The first semiconductor gate electrode portion 52A comprises a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F (such as a plurality of gate electrode fin portions 52F). The first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the first transistor active region, and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49. In one embodiment, the planar gate electrode portion (52P, 54A) comprises a pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl, and a pair of widthwise sidewalls that laterally extend along the second horizontal direction hd2.
[0167] In one embodiment, the at least one line trench 49 comprises a plurality of line trenches 49 having a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced from each other along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl . In one embodiment, each of the plurality of line trenches 49 has a same length along the first horizontal direction hdl (such as the trench length LT shown in FIG. IB) and a same width along the second horizontal direction hd2 (such as the trench width WT shown in FIG. IB). In one embodiment, the line trenches 49 within the plurality of line trenches 49 may be spaced from each other by a same trench spacing ST (shown in FIG. IB).
[0168] The first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the top surface of the semiconductor substrate 8 and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49. In one embodiment, each of the plurality of line trenches 49 may be located entirely within an area of the planar gate electrode portion (52P, 54A) in a plan view along a vertical direction that is perpendicular to the top surface of the semiconductor substrate 8. In one embodiment, the planar gate electrode portion (52P, 54A) can have a rectangular horizontal cross-sectional shape. For example, the planar gate electrode portion (52P, 54A) may have a gate length LG along the first horizontal direction hdl, and may have a gate width WG along the second horizontal direction hd2.
[0169] At least one implantation mask layer, such as at least one patterned photoresist layer, can be formed over the first exemplary structure. Electrical dopants can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked by a respective combination of an implantation mask layer and the gate stacks to form various source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D). The various source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) may include, for example, a first source extension region 31 A, a first drain extension region 39A, a second source extension region 3 IB, a second drain extension region 39B, a third source extension region 31C, a third drain extension region 39C, a fourth source extension region 3 ID, and a fourth drain extension region 39D. Generally, each of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) can have a doping of an opposite conductivity type than the conductivity type of a remaining portion of the transistor active region on which the respective one of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) is formed. For example, if a transistor active region has a doping of a first conductivity type, the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that are formed within surface regions of the transistor active region has a doping of a second conductivity type that is the opposite of the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa. The atomic concentration of dopants in the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) may be in a range from 1.0 x 1017/cm3 to 1.0 x 1020/cm3, although lesser and greater dopant concentrations may also be employed.
[0170] Referring to FIGS. 12A - 12D, at least one dielectric spacer material layer can be conformally deposited, and an anisotropic etch process can be performed to remove horizontally-extending portions of the at least one dielectric spacer material layer. The at least one dielectric spacer material layer includes a dielectric material, such as silicon oxide and/or silicon nitride, and may be formed by at least one chemical vapor deposition process such as at least one low pressure chemical vapor deposition (LPCVD) process. Remaining portion of the at least one dielectric spacer material layer comprise dielectric gate spacers 56 that laterally surround a respective one of the gate stacks or a respective one of the dummy gate stacks. For example, one of the dielectric gate spacers 56 laterally surrounds the first gate stack (50A, 52A, 54A, 58A).
[0171] At least one implantation mask layer, such as at least one patterned photoresist layer, can be formed over the first exemplary structure. Electrical dopants can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked by a respective combination of an implantation mask layer, the gate stacks, and the dielectric gate spacers 56 to form various deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D). The various source/deep drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) may include, for example, a first deep source region 32A, a first deep drain region 38 A, a second deep source region 32B, a second deep drain region 38B, a third deep source region 32C, a third deep drain region 38C, a fourth deep source region 32D, and a fourth deep drain region 38D. Generally, each of the deep source/drain regions (32A, 38A, 32B, 38B, 32C, 38C, 32D, 38D) can have the same conductivity type as the conductivity type of a respective source/drain extension region (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) on which the respective deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is formed. Generally, the atomic concentration of dopants in the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is greater than the atomic concentration of dopants in the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D). As such, volumes of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that overlap with volumes of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) are incorporated into a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D). In one embodiment, the atomic concentration of dopants in the deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) may be in a range from 5.0 x 1018/cm3 to 2.0 x 1021/cm3, although lesser and greater dopant concentrations may also be employed.
[0172] Unimplanted portions of each transistor active region constitutes a respective channel region (36 A, 36B, 36C, 36D). Each channel region may have a dopant concentration of the first conductivity type in a range from 1.0 x 1014/cm3 to 1.0 x 1018/cm3, although lesser and greater dopant concentrations may also be employed. The plurality of line trenches 49 extend through the first channel region 36A in the first device region A. Thus, the gate electrode fin portions 52F may protrude vertically (i.e., perpendicular to the major surface of the substrate 8) into the first channel region 36A, such that the bottom surfaces of the gate electrode fin portions 52F are located below the top surface of the first channel region 36 A. However, the line trenches may be absent in the second, third and fourth channel regions (36B, 36C, 36D) in the second, third and fourth device regions B, C and D.
[0173] Each contiguous combination of a respective one of source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) and a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) constitutes a source/drain region, which may be a source region including a combination of a source extension region and a deep source region, or may be drain region including a combination of a drain extension region and a deep drain region. Each respective channel region (36A, 36B, 36C, 36D) is located between a respective source region and a respective drain region along the first horizontal direction hdl.
[0174] Generally, a source region and a drain region can be formed in portions of each transistor active region that are laterally spaced from each other by a respective channel region a plan view. For example, a first source region (31 A, 32A) and a first drain region (39A, 38A) can be formed in portions of the first transistor active region that are laterally spaced from each other by the first channel region 36A in the plan view. The first channel region 36 comprises a portion of the first transistor active region and laterally surrounds and underlies each of the plurality of line trenches 49. The first gate dielectric 50A contacts all surfaces of the line trenches 49, and comprises a planar gate dielectric portion that extends over the entirety of the top surface of the first channel region 36A and gate electrode fin portions 52F which are located in the line trenches 49 and extend into the first channel region 36 A.
[0175] In one embodiment, the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a vertical stack including a planar semiconductor gate electrode portion 52P that is adjoined to the at least one gate electrode fin portion 52F, and a planar metallic gate electrode portion 54A that overlies the planar semiconductor gate electrode portion 52P. In one embodiment, the planar semiconductor gate electrode portion 52P and the at least one gate electrode fin portion 52F consist of a same doped semiconductor material.
[0176] In one embodiment, the planar gate dielectric portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a first pair of lengthwise sidewalls that are sidewalls of the planar semiconductor gate electrode portion 52P, and a second pair of lengthwise sidewalls that are sidewalls of the metallic gate electrode portion 54A. The first pair of lengthwise sidewalls and the second pair of lengthwise sidewalls laterally extend along the first horizontal direction hdl. The first pair of lengthwise sidewalls (which are sidewalls of the planar semiconductor gate electrode portion 52P) contact a respective sidewall segment of the shallow trench isolation structure 20.
[0177] In one embodiment, a top surface of the planar semiconductor gate electrode portion 52P is located within a horizontal plane including a top surface of the shallow trench isolation structure 20. In one embodiment, the planar metallic gate electrode portion 54A has a same lateral extent as the planar semiconductor gate electrode portion 52P along the first horizontal direction hdl, and the planar metallic gate electrode portion 54 A has a greater lateral extent than the planar semiconductor gate electrode portion 52P along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl and contacts surface segments of a top surface of the shallow trench isolation structure 20.
[0178] Generally, a source region and a drain region of each field effect transistor can be embedded in the semiconductor substrate 8, and can be laterally spaced from each other by a respective channel region. In one embodiment, the channel region, the source region, and the drain region of each field effect transistor comprise a respective portion of a single crystalline semiconductor material within the semiconductor substrate 8. The semiconductor gate electrode portions (52A, 52B, 52C, 52D) may include a respective doped polycrystalline semiconductor material portion. Thus, the at least one gate electrode fin 52F may comprise a respective doped poly crystalline semiconductor material portion.
[0179] A first field effect transistor 60A formed in the first device region A. The first field effect transistor 60A may be a high voltage word line switching transistor for a three dimensional NAND memory device. In the first field effect transistor 60A, the first channel region 36A can have a doping of a first conductivity type, and the source region (31 A, 32A) and the drain region (39A, 38A) can have a doping a second conductivity type that is an opposite of the first conductivity type. All surfaces of the at least one line trench 49 may be surfaces of the first channel region 36 A.
[0180] A second field effect transistor 60B can be provided in the second device region B. A second transistor active region that comprises an additional portion of the semiconductor substrate 8 can be provided in the second device region B. The second field effect transistor 60B can be located on the second transistor active region, and can an additional gate dielectric (such as the second gate dielectric 50B) that consists of an additional planar gate dielectric portion that contacts a top surface of the second transistor active region, and having the same thickness and the same material composition as the first gate dielectric 50A. The first gate dielectric 50A and the second gate dielectric 50B may consist of a semiconductor oxide of the material of the semiconductor substrate 8 (such as thermal silicon oxide) and may have a same thickness throughout. A third field effect transistor 60C can be provided in the third device region C, and a fourth field effect transistor 60D can be provided in the fourth device region D. In one embodiment, the first field effect transistor and the second field effect transistor may be high voltage field effect transistors, the third field effect transistor may be a low voltage field effect transistor, and the fourth field effect transistor may be a very low voltage field effect transistor.
[0181] Referring to FIGS. 13A - 13H, at least one dielectric liner (not shown) can be optionally formed over the physically exposed surfaces of the first exemplary structure by at least one conformal deposition process. The at least one dielectric liner, if present, may comprise, for example, a stack of a silicon oxide liner and a silicon nitride liner. A contact- level dielectric layer 80 can be deposited over the gate stacks, the source/drain regions, the shallow trench isolation structure 20, and the optional at least one dielectric liner. The contact-level dielectric layer 80 comprises a dielectric material, such as silicon oxide. A planarization process such as a chemical mechanical planarization process can be optionally performed to planarize the top surface of the contact-level dielectric layer 80. The vertical distance between the top surface of the contact-level dielectric layer 80 and the top surfaces of the gate capping dielectrics (58A, 58B, 58C, 58D) may be in a range from 50 nm to 500 nm, although lesser and greater vertical distances may also be employed.
[0182] Contact via voids can be formed through the contact-level dielectric layer 80, and can be filled with at least one conductive material. Excess portions of the at least one conductive material can be removed from above the horizontal plane including the top surface of the contact-level dielectric layer 80 by a planarization process, which may include a recess etch process and/or a chemical mechanical planarization process. Each remaining portion of the at least one conductive material constitutes a contact via structure (82, 85, 88). The contact via structures (82, 85, 88) may comprise source contact via structures 82 contacting a respective one of the source regions, drain contact via structures 88 contacting a respective one of the drain regions, and gate contact via structures 85 contacting a respective one of the gate electrodes. The source contact via structures 82 may comprise a first source contact via structure 82A contacting a first deep source region 32A, a second source contact via structure 82B contacting a second deep source region 32B, a third source contact via structure 82C contacting a third deep source region 32C, and a fourth source contact via structure 82D contacting a fourth deep source region 32D. The drain contact via structures 88 may comprise a first drain contact via structure 88A contacting a first deep drain region 38 A, a second drain contact via structure 88B contacting a second deep drain region 38B, a third drain contact via structure 88C contacting a third deep drain region 38C, and a fourth drain contact via structure 88D contacting a fourth deep drain region 38D. The gate contact via structures 85 may comprise at least one first gate contact via structure 85A contacting the first metallic gate electrode portion 54A, at least one second gate contact via structure 85B contacting the second metallic gate electrode portion 54B, a third gate contact via structure 85C contacting the third metallic gate electrode portion 54C, and a fourth gate contact via structure 85D contacting the fourth metallic gate electrode portion 54D. [0183] Referring to FIGS. 14A and 14B, a second exemplary structure according to a second embodiment of the present disclosure can be derived from the first exemplary illustrated in FIGS. 1 A and IB by increasing the length of the at least one line trench 49 along the first horizontal direction hdl, i.e., by increasing the trench length LT of each of the at least one line trench 49. Specifically, the trench length LT can be selected so that the trench length LT is greater than the gate length (i.e., the length of the gate electrode to be subsequently formed) of the first field effect transistor to be subsequently formed in the first device region A, and is less than the sum of the gate length and twice the base with of a dielectric gate spacer to be subsequently formed in the first device region A.
[0184] Generally, at least one line trench 49 can be formed in a transistor active region of a semiconductor substrate 8 in the first device region A. Each of the at least one line trench 49 extends downward from the top surface of a first transistor active region in the first device region A, and laterally extends along the first horizontal direction hdl. In one embodiment, the at least one line trench 49 comprises a plurality of line trenches 49 including a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced apart along the second horizontal direction hd2. In one embodiment, each of plurality of line trenches 49 comprises a respective upper periphery that coincides with a periphery of a respective opening in the top surface of the semiconductor substrate 8.
[0185] Referring to FIGS. 15A and 15B, the processing steps of FIGS. 2A and 2B can be performed to form a layer stack including a first gate dielectric layer 50L, a first semiconductor gate electrode material layer 52L, a first silicon oxide capping layer 42A, and a first silicon nitride capping layer 44A over the semiconductor substrate 8. In one embodiment, the first gate dielectric layer 50L can contact all surfaces of the at least one line trench 49.
[0186] Referring to FIGS. 16A and 16B, the processing steps of FIGS. 3A and 3B can be performed to remove portions of the layer stack of the first gate dielectric layer 50L, the first semiconductor gate electrode material layer 52L, the first silicon oxide capping layer 42A, and the first silicon nitride capping layer 44A from the third device region C and from the fourth device region D. The processing steps of FIGS. 4A and 4B can be performed to form additional gate dielectric layers (150L, 250L), a second semiconductor gate electrode material layer 53L, a second silicon oxide capping layer 42B, and a second silicon nitride capping layer 44B. The processing steps of FIGS. 5 A and 5B can be performed to remove portions of the second silicon nitride capping layer 44B, the second silicon oxide capping layer 42B, and the second semiconductor gate electrode material layer 53L that overlie the first silicon nitride capping layer 44A in the first device region A and the second device region B.
[0187] Referring to FIGS. 17A and 17B, the processing steps of FIGS. 6A and 6B can be performed to form shallow trenches 19. The processing steps of FIGS. 7A and 7B can be performed to form a dielectric fill material layer 20L. The processing steps of FIGS. 8A and 8B can be performed to form a shallow trench isolation structure 20. The shallow trench isolation structure 20 can be subsequently vertically recessed so that the top surface of the shallow trench isolation structure 20 is formed at about the horizontal plane including the bottom surfaces of the silicon nitride capping layers (44A, 44B). Subsequently, the processing steps of FIGS. 9A and 9B can be performed to remove the silicon nitride capping layers (44A, 44B) selective to the shallow trench isolation structure 20 and the silicon oxide capping layers (42A, 42B). In one embodiment, the top surface of the shallow trench isolation structure 20 can be located about the height of the top surfaces of the semiconductor gate electrode material layers (52L, 53L). The shallow trench isolation structure 20 can be formed through the semiconductor gate electrode material layers (52L, 53L) and the gate dielectric material layers (50L, 150L, 250L) and into an upper portion of the semiconductor substrate 8.
[0188] Referring to FIGS. 18A and 18B, the processing steps of FIGS. 10A and 10B can be performed to form a metallic gate electrode material layer 54L directly on top surfaces of the semiconductor gate electrode material layers (52L, 53L). The metallic gate electrode material layer 54L comprises metallic material such as a transition metal, a conductive metallic nitride material (such as TiN, TaN, or WN), or metal silicide material. The metallic gate electrode material layer 54L may be deposited by physical vapor deposition and/or chemical vapor deposition. The metallic gate electrode material layer 54L can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed.
[0189] A gate capping dielectric layer 58L can be subsequently deposited over the metallic gate electrode material layer 54L. The gate capping dielectric layer 58L can include a passivation dielectric material, such as silicon nitride. The gate capping dielectric layer 58L can be deposited, for example, by chemical vapor deposition. The thickness of the gate capping dielectric layer 58L can be in a range from 10 nm to 100 nm, such as from 20 nm to 60 nm, although lesser and greater thicknesses may also be employed.
[0190] Referring to FIGS. 19A - 19H, a photoresist layer (not shown) can be applied over the gate capping dielectric layer 58L, and can be patterned into discrete photoresist material portions by lithographic exposure and development. Each patterned photoresist material portion can have a shape of a respective gate stack to be subsequently formed. In one embodiment, the transistor active regions may have a respective rectangular horizontal cross-sectional shape having a respective pair of lengthwise sidewalls along the first horizontal direction hdl and a respective pair of widthwise sidewalls along the second horizontal direction hd2. In this case, each patterned photoresist material portion may have a rectangular horizontal cross-sectional shape having a lesser dimension along the first horizontal direction hdl than the length of a respective underlying transistor active region, and having a greater dimension along the second horizontal direction hd2 than the width of the respective underlying transistor active region.
[0191] According to an aspect of the present disclosure, a patterned photoresist material portion can be formed in the first device region A such that the patterned photoresist material portion has a rectangular horizontal cross-sectional shape. The patterned photoresist material portion in the first device region A can have a first gate length along the first horizontal direction hdl that is less than the trench length LT of the at least one line trench 49 along the first horizontal direction, and a first gate width along the second horizontal direction hd2 that is greater than the width of the first transistor active region within the first device region A. The patterned photoresist material portion in the first device region A can be positioned such that end portions of each line trench 49 are not covered by the patterned photoresist material portion in the first device region A. In one embodiment, a first end portion and a second end portion of each line trench 49 that are laterally spaced apart along the first horizontal direction hdl are not covered by the patterned photoresist material portion in the first device region A.
[0192] The gate capping dielectric layer 58L and the at least one gate electrode material layer (54L, 52L) can be patterned by performing an anisotropic etch process that etches unmasked portions of the gate capping dielectric layer 58L and the at least one gate electrode material layer (54L, 52L) selective to the gate dielectric layers (50L, 150L, 250L). Each patterned portion of the gate capping dielectric layer 58L constitutes a gate capping dielectric (58A, 58B, 58C, 58D). Each patterned portion of the at least one gate electrode material layer (54L, 52L) constitutes a gate electrode {(52A, 54A), (52B, 54B), (52C, 54C), (52D, 54D). Dummy gate stacks (54E, 58E) may be optionally formed over areas of the shallow trench isolation structure 20. In this case, each dummy gate stack (54E, 58E) may comprise a stack of a dummy metallic gate electrode 54E and a dummy gate capping dielectric 58E. [0193] The combination of the first semiconductor gate electrode portion 52A and the first metallic gate electrode portion 54A constitutes a first gate electrode (52A, 54A). The combination of the second semiconductor gate electrode portion 52B and the second metallic gate electrode portion 54B constitutes a second gate electrode (52B, 54B). The combination of the third semiconductor gate electrode portion 52C and the third metallic gate electrode portion 54C constitutes a third gate electrode (52C, 54C). The combination of the fourth semiconductor gate electrode portion 52D and the fourth metallic gate electrode portion 54D constitutes a fourth gate electrode (52D, 54D).
[0194] The first gate electrode (52A, 54A) comprises a first semiconductor gate electrode portion 52A and a first metallic gate electrode portion 54A. The first semiconductor gate electrode portion 52A is a patterned portion of the first semiconductor gate electrode material layer 52L including a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F. The first metallic gate electrode portion 54A that is a patterned portion of the metallic gate electrode material layer 54L. The second gate electrode (52B, 54B) comprises a second semiconductor gate electrode portion 52B and a second metallic gate electrode portion 54B. The second semiconductor gate electrode portion 52B is a patterned portion of the second semiconductor gate electrode material layer 52L. The second metallic gate electrode portion 54B that is a patterned portion of the metallic gate electrode material layer 54L. The third gate electrode (52C, 54C) comprises a third semiconductor gate electrode portion 52C and a third metallic gate electrode portion 54C. The third semiconductor gate electrode portion 52C is a patterned portion of the second semiconductor gate electrode material layer 53L. The third metallic gate electrode portion 54C is a patterned portion of the metallic gate electrode material layer 54L. The fourth gate electrode (52D, 54D) comprises a fourth semiconductor gate electrode portion 52D and a fourth metallic gate electrode portion 54D. The fourth semiconductor gate electrode portion 52D is a patterned portion of the second semiconductor gate electrode material layer 53L. The fourth metallic gate electrode portion 54C is a patterned portion of the metallic gate electrode material layer 54L.
[0195] In one embodiment, the first semiconductor gate electrode portion 52A comprises a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F (such as a plurality of gate electrode fin portions 52F). The first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the first transistor active region, and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49. In one embodiment, the planar gate electrode portion (52P, 54A) comprises a pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl, and a pair of widthwise sidewalls that laterally extend along the second horizontal direction hd2.
[0196] In one embodiment, the at least one line trench 49 comprises a plurality of line trenches 49 having a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl and laterally spaced from each other along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl . In one embodiment, each of the plurality of line trenches 49 has a same length along the first horizontal direction hdl (such as the trench length LT) and a same width along the second horizontal direction hd2 (such as the trench width WT). In one embodiment, the line trenches 49 within the plurality of line trenches 49 may be spaced from each other by a same trench spacing ST. [0197] The first gate electrode (52A, 54A) comprises a planar gate electrode portion (52P, 54A) that overlies the top surface of the semiconductor substrate 8 and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 49. In one embodiment, each of the plurality of line trenches 49 may be located entirely within an area of the planar gate electrode portion (52P, 54A) in a plan view along a vertical direction that is perpendicular to the top surface of the semiconductor substrate 8. In one embodiment, the planar gate electrode portion (52P, 54A) can have a rectangular horizontal cross-sectional shape. For example, the planar gate electrode portion (52P, 54A) may have a gate length LG along the first horizontal direction hdl, and may have a gate width WG along the second horizontal direction hd2.
[0198] According to an embodiment of the present disclosure shown in FIG. 19C, a pair of voids 56V can be formed within each line trench 49. In this case, the gate length LG is less than the trench length LT by a length difference that is greater than twice the thickness of the first gate dielectric layer 50L. Each void 56V vertically extends from the horizontal plane including the topmost surface of the first gate dielectric layer 50L to a horizontal plane including the top surface segments of each horizontally-extending portion of the first gate dielectric layer 50L that contacts a respective bottom surface of the at least one line trench 49. Thus, the depth of each void 56V can be the same as the depth of each line trench 49. An inner sidewall of the first gate dielectric layer 50L, a pair of end segments of sidewalls of the first gate dielectric layer 50L, and an end surface of a gate electrode fin portion 56F can be exposed around each void 56V in a respective line trench 49. The lateral dimension of each void 56V along the first horizontal direction may be about one half of the dimension that is the trench length LT less the gate length LG less twice the thickness of the first gate dielectric layer 50L.
[0199] The first gate dielectric layer 50L contacts the bottom surface and the sidewalls of each of the at least one line trench 49. The first gate dielectric layer 50L can contact all surfaces of the at least one line trench 49. The first gate electrode (52A, 54A) comprises planar gate electrode portion (52P, 54A) that overlies the horizontally-extending portion of the first gate dielectric layer 50L that overlies the top surface of the semiconductor substrate 8, and comprises at least one gate electrode fin portion 52F located within the at least one line trench 49. Widthwise gate sidewalls of the planar gate electrode portion (52P, 54A) can be perpendicular to the first horizontal direction hdl (and thus, can be parallel to the second horizontal direction hd2), and physically exposed end surfaces of each gate electrode fin portion 52F (also referred to as widthwise fin sidewalls) can be perpendicular to the first horizontal direction hdl. The widthwise gate sidewalls of the planar gate electrode portion (52P, 54A) can be laterally spaced apart along the first horizontal direction by the gate length LG, and the physically exposed end surfaces of each gate electrode fin portion 52F can be laterally spaced apart along the first horizontal direction by the gate length LG. The physically exposed end surfaces of each gate electrode fin portion 52F can be vertically coincident with the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A), i.e., can be located within the same pair of vertical planes that are perpendicular to the first horizontal direction hdl. Thus, each of the widthwise fin sidewalls of each gate electrode fin portion 52F can be vertically coincident with a respective one of the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A).
[0200] Referring to FIGS. 20 A - 20H, electrical dopants can be implanted into portions of the semiconductor material layer 10 that are not masked by the gate stacks to form various source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D). The various source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) may include, for example, a first source extension region 31 A, a first drain extension region 39A, a second source extension region 3 IB, a second drain extension region 39B, a third source extension region 31C, a third drain extension region 39C, a fourth source extension region 3 ID, and a fourth drain extension region 39D. Generally, each of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) can have a doping of an opposite conductivity type than the conductivity type of a remaining portion of the transistor active region on which the respective one of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) is formed. For example, if a transistor active region has a doping of a first conductivity type, the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that are formed within surface regions of the transistor active region has a doping of a second conductivity type that is the opposite of the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa. The atomic concentration of dopants in the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) may be in a range from 1.0 x 1017/cm3 to 1.0 x 1020/cm3, although lesser and greater dopant concentrations may also be employed.
[0201] According to an aspect of the present disclosure, the first source extension region 31 A is in contact with end segments of the bottom surface and the sidewalls of each of the at least one line trench 49, and is in contact with a segment of the horizontally-extending portion of the first gate dielectric layer 50L that overlies the top surface of the semiconductor substrate 8. The first drain extension region 39B is in contact with additional end segments of the bottom surface and the sidewalls of each of the at least one line trench 49, and is in contact with another segment of the horizontally-extending portion of the first gate dielectric layer 50L that overlies the top surface of the semiconductor substrate 8. The thickness of each horizontally-extending portion of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) may be in a range from 5 nm to 100 nm, such as from 10 nm to 50 nm, although lesser and greater vertical distances may also be employed. Thus, the thickness of the horizontally-extending portions of the first source/drain extension regions (31 A, 39A) that underlie the bottom surface of each line trench 49 may be in a range from 5 nm to 100 nm, such as from 10 nm to 50 nm, although lesser and greater vertical distances may also be employed.
[0202] Generally, straggle of the implanted ions causes the lateral extent of each of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) to extend underneath a periphery of the gate electrodes {(52 A, 54A), (52B, 54B), (52C, 54C), (52D, 54D), thereby providing a lateral overlap with peripheral portions of the gate electrodes {(52A, 54A), (52B, 54B), (52C, 54C), (52D, 54D)} and the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) in a plan view. As shown in FIG. 20G, the lateral straggle of the implanted ions may also cause the implanted ions to by implanted into portions of the semiconductor material layer 10 which form the sidewalls of the line trenches 49 along the second horizontal direction hd2.
[0203] Referring to FIGS. 21 A - 21H, at least one dielectric spacer material layer can be conformally deposited. The at least one dielectric spacer material layer includes a dielectric material, such as silicon oxide and/or silicon nitride, and may be formed by at least one chemical vapor deposition process such as at least one low pressure chemical vapor deposition (LPCVD) process. The at least one dielectric spacer material layer is deposited in the voids 56V in the at least one line trench 49. The voids 56V can be filled with the at least one dielectric spacer material layer.
[0204] An anisotropic etch process can be performed to remove horizontally-extending portions of the at least one dielectric spacer material layer. Remaining portion of the at least one dielectric spacer material layer comprise dielectric gate spacers 56 that laterally surround a respective one of the gate electrodes {(52A, 54A), (52B, 54B), (52C, 54C), (52D, 54D) or a respective one of the dummy gate stacks. For example, one of the dielectric gate spacers 56 (which is herein referred to as a first dielectric gate spacer 56A) laterally surrounds the first gate stack (50A, 52A, 54A, 58A). The anisotropic etch process can be continued to remove portions of the gate dielectric layers (50L, 150L, 250L) that are not masked by the dielectric gate spacers 56. The remaining portions of the first gate dielectric layer 50L comprise a first gate dielectric 50A that is formed in the first device region A, and a second gate dielectric 50B that is formed in the second device region B. A remaining portion of the second gate dielectric layer 150L includes a third gate dielectric 50C that remains in the third device region C. A remaining portion of the third gate dielectric layer 250L include a fourth gate dielectric 50D that remains in the fourth device region D.
[0205] The first dielectric gate spacer 56A is formed around the first gate electrode (52A, 54A). As shown in FIG. 21C, the first dielectric gate spacer 56A laterally surrounds the first gate electrode (52A, 54A), and may comprise downward-protruding portions 56P vertically extending into the voids 56V at the respective end portion of the at least one line trench 49. Each of the at least one line trench 49 contains a respective electrode fin portion 52F, and a respective pair of downward-protruding portions 56P of the first dielectric gate spacer 56A. [0206] The first gate dielectric 50A comprises a planar gate dielectric portion located above the horizontal plane including the top surface of the semiconductor substrate 8, and vertically-extending portions that are located within a respective line trench 49. In one embodiment, the first dielectric gate spacer 56A contacts a segment of a top surface of the planar gate dielectric portion of the first gate dielectric 50A and contacts sidewalls of the vertically-extending portions of the first gate dielectric 50A that are located in the at least one line trench 49.
[0207] The first dielectric gate spacer 56A comprises at least one pair of downwardprotruding portions 56P, which may be a plurality of pairs of downward-protruding portions 56P. The total number of pairs of downward-protruding portions 56P of the first dielectric gate spacer 56A may be the same as the total number of the line trenches 49. Bottom surfaces of the at least one pair of downward-protruding portions 56P of the first dielectric gate spacer 56A can be located within a same horizontal plane as a bottom surface of each of the at least one gate electrode fin portion 56F. In one embodiment, the at least one pair of downward-protruding portions 56P of the first dielectric gate spacer 56A can contact sidewalls of each of the at least one gate electrode fin portion 52F, i.e., the end surfaces of each of the at least one gate electrode fin portion 52F that are perpendicular to the first horizontal direction hdl. In one embodiment, interfaces between the at least one pair of downward-protruding portions 56P of the first dielectric gate spacer 56A and each of the at least one gate electrode fin portion 52F are perpendicular to the first horizontal direction hdl. [0208] A first gate stack (50A, 52A, 54A, 58A) can be formed in the first device region A. A second gate stack (50B, 52B, 54B, 58B) can be formed in the second device region B. A third gate stack (50C, 52C, 54C, 58C) can be formed in the third device region C. A fourth gate stack (50D, 52D, 54D, 58D) can be formed in the fourth device region D. The first gate stack (50A, 52A, 54A, 58 A) includes a first gate dielectric 50A that is a first patterned portion of the first gate dielectric layer 50L, a first semiconductor gate electrode portion 52A that is a patterned portion of the first semiconductor gate electrode material layer 52L and the fin portions 52F, a first metallic gate electrode portion 54A that is a patterned portion of the metallic gate electrode material layer 54L, and a first gate capping dielectric 58A that is a patterned portion of the gate capping dielectric layer 58L. The second gate stack (50B, 52B, 54B, 58B) includes a second gate dielectric 50B that is a second patterned portion of the first gate dielectric layer 50L, a second semiconductor gate electrode portion 52B that is a patterned portion of the first semiconductor gate electrode material layer 52L, a second metallic gate electrode portion 54B that is a patterned portion of the metallic gate electrode material layer 54L, and a second gate capping dielectric 58B that is a patterned portion of the gate capping dielectric layer 58L. The third gate stack (50C, 52C, 54C, 58C) includes a third gate dielectric 50C that is a patterned portion of the second gate dielectric layer 150L, a third semiconductor gate electrode portion 52C that is a patterned portion of the second semiconductor gate electrode material layer 53L, a third metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a third gate capping dielectric 58C that is a patterned portion of the gate capping dielectric layer 58L. The fourth gate stack (50D, 52D, 54D, 58D) includes a fourth gate dielectric 50D that is a patterned portion of the third gate dielectric layer 250L, a fourth semiconductor gate electrode portion 52D that is a patterned portion of the second semiconductor gate electrode material layer 53L, a fourth metallic gate electrode portion 54C that is a patterned portion of the metallic gate electrode material layer 54L, and a fourth gate capping dielectric 58D that is a patterned portion of the gate capping dielectric layer 58L.
[0209] The first gate dielectric 50A comprises vertically-extending portions and a horizontally-extending portion adjoined to an upper end of each of the vertically-extending portions. The first gate dielectric 50A is formed on and directly contacts the at least one line trench 49 and a segment of a top surface of the first transistor active region located in the first device region A. In one embodiment, the first gate dielectric 50A contacts all surfaces of the at least one line trench 49, such as the plurality of line trenches 49.
[0210] In one embodiment, the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A) has widthwise gate sidewalls that are perpendicular to the first horizontal direction hdl and laterally spaced apart along the first horizontal direction hdl by the gate length LG, each of the at least one gate electrode fin portion 52F has respective widthwise fin sidewalls (i.e., end surfaces) that are perpendicular to the first horizontal direction hdl and laterally spaced apart along the first horizontal direction hdl by the gate length LG. Each of the widthwise fin sidewalls is vertically coincident with a respective one of the widthwise gate sidewalls of the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A). In one embodiment, the planar gate electrode portion (52P, 54A) comprises a pair of lengthwise sidewalls that laterally extend along the first horizontal direction hdl, and a pair of widthwise sidewalls that laterally extend along the second horizontal direction hd2 and having a respective bottom edge that coincides with a top edge of a respective sidewall of a planar horizontally-extending portion of the first gate dielectric 50A that overlies the top surface of the semiconductor substrate 8.
[0211] Electrical dopants can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked the gate stacks and the dielectric gate spacers 56 to form various deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D). The various source/deep drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) may include, for example, a first deep source region 32A, a first deep drain region 38 A, a second deep source region 32B, a second deep drain region 38B, a third deep source region 32C, a third deep drain region 38C, a fourth deep source region 32D, and a fourth deep drain region 38D. Generally, each of the deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) can have the same conductivity type as the conductivity type of a respective source/drain extension region (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) on which the respective deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is formed. Generally, the atomic concentration of dopants in the deep source/drain region (32 A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) is greater than the atomic concentration of dopants in the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D). As such, volumes of the source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) that overlap with volumes of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) are incorporated into a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D). In one embodiment, the atomic concentration of dopants in the deep source/drain regions (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) may be in a range from 5.0 x 1018/cm3 to 2.0 x 1021/cm3, although lesser and greater dopant concentrations may also be employed.
[0212] Unimplanted portions of each transistor active region constitutes a respective channel region (36 A, 36B, 36C, 36D). Each channel region may have a dopant concentration of the first conductivity type in a range from 1.0 x 1014/cm3 to 1.0 x 1018/cm3, although lesser and greater dopant concentrations may also be employed. The plurality of line trenches 49 extend through the first channel region 36A in the first device region A. Thus, the gate electrode fin portions 52F may protrude vertically (i.e., perpendicular to the major surface of the substrate 8) into the first channel region 36A, such that the bottom surfaces of the gate electrode fin portions 52F are located below the top surface of the first channel region 36 A. However, the line trenches may be absent in the second, third and fourth channel regions (36B, 36C, 36D) in the second, third and fourth device regions B, C and D.
[0213] Each contiguous combination of a respective one of source/drain extension regions (31 A, 39A, 3 IB, 39B, 31C, 39C, 3 ID, 39D) and a respective one of the deep source/drain region (32A, 38 A, 32B, 38B, 32C, 38C, 32D, 38D) constitutes a source/drain region, which may be a source region including a combination of a source extension region and a deep source region, or may be drain region including a combination of a drain extension region and a deep drain region. Each respective channel region (36A, 36B, 36C, 36D) is located between a respective source region and a respective drain region along the first horizontal direction hdl.
[0214] Generally, a first source region (31 A, 32A) and a first drain region (39A, 38A) can be formed in the semiconductor substrate 8 by implanting electrical dopants. The first source region (31 A, 32A) comprises a first source extension region 31 A having a bottommost surface that underlies a horizontal plane including each bottom surface of the at least one line trench 49. The first drain region (39A, 38A) comprises a first drain extension region 39A having a bottommost surface that underlies the horizontal plane including each bottom surface of the at least one line trench 49. The first deep source region 32A and the first deep drain region 38A can be located in the semiconductor substrate 8, and can be laterally spaced from each other by the at least one line trench 49. The first source extension region 31 A is adjoined to the first deep source region 32A to provide a first source region (31 A, 32A). The first drain extension region 39A is adjoined to the first deep drain region 38A to provide a first drain region (39A, 38A).
[0215] In one embodiment, a bottommost surface of the first source extension region 31 A is located at a greater depth than a bottom surface of the deep source region 32A from a horizontal plane including an interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A. In one embodiment, a bottommost surface of the first drain extension region 39A is located at a greater depth than a bottom surface of the deep drain region 38A from the horizontal plane including the interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A. In one embodiment, a bottom surface of a horizontally-extending portion of the first source extension region 31 A can be laterally offset from the at least one line trench 49, and can be located at a lesser depth than the bottom surface of the first deep source region 32A from the horizontal plane including the interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A. In one embodiment, a bottom surface of a horizontally-extending portion of the first drain extension region 39A can be laterally offset from the at least one line trench 49, and can be located at a lesser depth than the bottom surface of the first deep drain region 38A from the horizontal plane including the interface between the semiconductor substrate 8 and the planar gate dielectric portion of the first gate dielectric 50A.
[0216] Generally, a source region and a drain region can be formed in portions of each transistor active region that are laterally spaced from each other by a respective channel region a plan view. For example, a first source region (31 A, 32A) and a first drain region (39A, 38A) can be formed in portions of the first transistor active region that are laterally spaced from each other by the first channel region 36A in the plan view. The first channel region 36A comprises a portion of the first transistor active region and laterally surrounds and underlies each of the plurality of line trenches 49. The first gate dielectric 50A contacts all surfaces of the line trenches 49, and comprises a planar gate dielectric portion that extends over the entirety of the top surface of the first channel region 36A and gate electrode fin portions 52F which are located in the line trenches 49 and extend into the first channel region 36 A.
[0217] In one embodiment, the planar gate electrode portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a vertical stack including a planar semiconductor gate electrode portion 52P that is adjoined to the at least one gate electrode fin portion 52F, and a planar metallic gate electrode portion 54A that overlies the planar semiconductor gate electrode portion 52P. In one embodiment, the planar semiconductor gate electrode portion 52P and the at least one gate electrode fin portion 52F consist of a same doped semiconductor material.
[0218] In one embodiment, the planar gate dielectric portion (52P, 54A) of the first gate electrode (52A, 54A) comprises a first pair of lengthwise sidewalls that are sidewalls of the planar semiconductor gate electrode portion 52P, and a second pair of lengthwise sidewalls that are sidewalls of the metallic gate electrode portion 54A. The first pair of lengthwise sidewalls and the second pair of lengthwise sidewalls laterally extend along the first horizontal direction hdl. The first pair of lengthwise sidewalls (which are sidewalls of the planar semiconductor gate electrode portion 52P) contact a respective sidewall segment of the shallow trench isolation structure 20.
[0219] In one embodiment, a top surface of the planar semiconductor gate electrode portion 52P is located within a horizontal plane including a top surface of the shallow trench isolation structure 20. In one embodiment, the planar metallic gate electrode portion 54A has a same lateral extent as the planar semiconductor gate electrode portion 52P along the first horizontal direction hdl, and the planar metallic gate electrode portion 54 A has a greater lateral extent than the planar semiconductor gate electrode portion 52P along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl and contacts surface segments of a top surface of the shallow trench isolation structure 20.
[0220] Generally, a source region and a drain region of each field effect transistor can be embedded in the semiconductor substrate 8, and can be laterally spaced from each other by a respective channel region. In one embodiment, the channel region, the source region, and the drain region of each field effect transistor comprise a respective portion of a single crystalline semiconductor material within the semiconductor substrate 8. The semiconductor gate electrode portions (52A, 52B, 52C, 52D) may include a respective doped polycrystalline semiconductor material portion. Thus, the at least one gate electrode fin 52F may comprise a respective doped poly crystalline semiconductor material portion.
[0221] A first field effect transistor 60A is formed in the first device region A. The first field effect transistor 60A may be a high voltage word line switching transistor for a three dimensional NAND memory device. In the first field effect transistor 60A, the first channel region 36A can have a doping of a first conductivity type, and the source region (31 A, 32A) and the drain region (39A, 38A) can have a doping a second conductivity type that is an opposite of the first conductivity type. All surfaces of the at least one line trench 49 may be surfaces of the first channel region 36 A.
[0222] A second field effect transistor 60B can be provided in the second device region B. A second transistor active region that comprises an additional portion of the semiconductor substrate 8 can be provided in the second device region B. The second field effect transistor 60B can be located on the second transistor active region, and can an additional gate dielectric (such as the second gate dielectric 50B) that consists of an additional planar gate dielectric portion that contacts a top surface of the second transistor active region, and having the same thickness and the same material composition as the first gate dielectric 50A. The first gate dielectric 50A and the second gate dielectric 50B may consist of a semiconductor oxide of the material of the semiconductor substrate 8 (such as thermal silicon oxide) and may have a same thickness throughout. A third field effect transistor 60C can be provided in the third device region C, and a fourth field effect transistor 60D can be provided in the fourth device region D. In one embodiment, the first field effect transistor and the second field effect transistor may be high voltage field effect transistors, the third field effect transistor may be a low voltage field effect transistor, and the fourth field effect transistor may be a very low voltage field effect transistor.
[0223] FIGS. 22A - 22H, at least one dielectric liner (not shown) can be optionally formed over the physically exposed surfaces of the second exemplary structure by at least one conformal deposition process. The at least one dielectric liner, if present, may comprise, for example, a stack of a silicon oxide liner and a silicon nitride liner. A contact-level dielectric layer 80 can be deposited over the gate stacks, the source/drain regions, the shallow trench isolation structure 20, and the optional at least one dielectric liner. The contact-level dielectric layer 80 comprises a dielectric material, such as silicon oxide. A planarization process such as a chemical mechanical planarization process can be optionally performed to planarize the top surface of the contact-level dielectric layer 80. The vertical distance between the top surface of the contact-level dielectric layer 80 and the top surfaces of the gate capping dielectrics (58A, 58B, 58C, 58D) may be in a range from 50 nm to 500 nm, although lesser and greater vertical distances may also be employed.
[0224] Contact via voids can be formed through the contact-level dielectric layer 80, and can be filled with at least one conductive material. Excess portions of the at least one conductive material can be removed from above the horizontal plane including the top surface of the contact-level dielectric layer 80 by a planarization process, which may include a recess etch process and/or a chemical mechanical planarization process. Each remaining portion of the at least one conductive material constitutes a contact via structure (82, 85, 88). The contact via structures (82, 85, 88) may comprise source contact via structures 82 contacting a respective one of the source regions, drain contact via structures 88 contacting a respective one of the drain regions, and gate contact via structures 85 contacting a respective one of the gate electrodes. The source contact via structures 82 may comprise a first source contact via structure 82A contacting a first deep source region 32A, a second source contact via structure 82B contacting a second deep source region 32B, a third source contact via structure 82C contacting a third deep source region 32C, and a fourth source contact via structure 82D contacting a fourth deep source region 32D. The drain contact via structures 88 may comprise a first drain contact via structure 88A contacting a first deep drain region 38 A, a second drain contact via structure 88B contacting a second deep drain region 38B, a third drain contact via structure 88C contacting a third deep drain region 38C, and a fourth drain contact via structure 88D contacting a fourth deep drain region 38D. The gate contact via structures 85 may comprise at least one first gate contact via structure 85A contacting the first metallic gate electrode portion 54A, at least one second gate contact via structure 85B contacting the second metallic gate electrode portion 54B, a third gate contact via structure 85C contacting the third metallic gate electrode portion 54C, and a fourth gate contact via structure 85D contacting the fourth metallic gate electrode portion 54D.
[0225] The second exemplary structure can be derived from the first exemplary structure described above by altering the relative dimensions of the planar gate electrode portion (52P, 54A) and the at least one gate electrode fin portion 52F along the first horizontal direction hdl. Specifically, the planar gate electrode portion (52P, 54A) of the first field effect transistor 60A can have a gate length along the first horizontal direction hdl (i.e., the distance between a pair of sidewalls that are perpendicular to the first horizontal direction hdl) that is less than the length of the at least one gate electrode fin portion 52F along the first horizontal direction hdl. The lateral thickness of each dielectric gate spacer 56 can be selected such that the first dielectric gate spacer 56 that laterally surrounds the planar gate electrode portion (52P, 54A) of the first field effect transistor 60A covers all segments of the top surface of the at least one gate electrode fin portion 52F that is not covered by the planar gate electrode portion (52P, 54A). Thus, the entire area of the at least one gate electrode fin portion 52F can be covered by the combination of the planar gate electrode portion (52P, 54A) and the first dielectric gate spacer 56. In one embodiment, each portion of the semiconductor substrate 8 that the first dielectric gate spacer 56 contacts may comprise a first source extension region 31 A or a first drain extension region 39A. In case a plurality of gate electrode fin portions 52F are formed, portions of the first source extension region 31 A may protrude between neighboring pairs of first end segments of the plurality of gate electrode fin portions 52F, and portions of the first drain extension region 39A may protrude between neighboring pairs of second end segments of the plurality of gate electrode fin portions 52F. Lengthening the at least one gate electrode fin portion 52F along the first horizontal direction hdl relative to the gate length of the planar gate electrode portion (52P, 54A) along the first horizontal direction hdl provides the advantage of the channel length being controlled by the length of the at least one gate electrode fin portion 52F for the first channel region 36 A.
[0226] Referring to FIGS. 23A - 23H, an alternative embodiment of the second exemplary structure can be derived from the second exemplary structure by altering the trench spacing ST such that the trench spacing ST is less than twice the lateral straggle range of the source/drain extension regions (31 A, 3 IB, 31C, 3 ID, 39A, 39B, 39C, 39D). In this case, vertically-extending portions of the first source extension region 31 A that are located on sidewalls of the line trenches 49 may merge, and the portion of the first channel region 36A located between neighboring pairs of the line trenches 49 may be eliminated, as shown in FIGS. 23E - 23G. Thus, the first channel region 36A is only located below the line trenches 49. The first source-extension region 31 A may comprise a bottom surface that continuously extends underneath first end portions of a plurality of line trenches 49. Likewise, vertically- extending portions of the first drain extension region 39A that are located on sidewalls of the line trenches 49 may merge, and the portion of the first channel region 36A located between neighboring pairs of the line trenches 49 may be eliminated. The first drain-extension region 39A may comprise a bottom surface that continuously extends underneath first end portions of a plurality of line trenches 49.
[0227] Referring to FIG. 24, another alternative embodiment of the second exemplary structure can be derived from the second exemplary structure of FIGS. 22 A - 22H or from the alternative embodiment of the second exemplary structure of FIGS. 23 A - 23H by selecting the width of the dielectric gate spacers 56 such that a vertically-extending sidewall of the first source extension region 31 A contacts a sidewalls of the first deep source region 32A, and a vertically-extending sidewall of the first drain extension region 39A contacts a sidewalls of the first deep drain region 38 A.
[0228] Referring to all drawings and according to the first and second embodiments of the present disclosure, a semiconductor structure is provided, which comprises: a semiconductor substrate 8 containing a shallow trench isolation structure 20 that laterally surrounds a first transistor active region that is a portion of the semiconductor substrate 8; and a first field effect transistor comprising: at least one line trench 49 extending downward from a top surface of the first transistor active region, laterally extending along a first horizontal direction hdl, and located within the transistor active region; a channel region 36A comprising a portion of the first transistor active region that laterally surrounds or underlies the at least one line trench 49; a gate dielectric (such as a first gate dielectric 50A) contacting all surfaces of the at least one line trench 49 and comprising a planar gate dielectric portion that extends over an entirety of a top surface of the channel region; a gate electrode (such as the first gate electrode (52A, 54A) comprising a planar gate electrode portion (52P, 54A) that overlies the top surface of the planar gate dielectric portion and at least one gate electrode fin portion 52F located within the at least one line trench 49; and a source region (31 A, 32A) and a drain region (39A, 38A) located in the semiconductor substrate 8 and laterally spaced from each other by the channel region 36 A. The planar gate electrode portion 52P physically contacts at least one gate electrode fin portion 52F. For example, the planar gate electrode portion 52P may physically contact and electrically connect tops of a plurality of the gate electrode fin portions 52F.
[0229] According to the second embodiment of the present disclosure, a field effect transistor 60A comprise: at least one line trench 49 extending downward from a top surface of a first transistor active region in a substrate, and laterally extending along a first horizontal direction hdl; a gate dielectric 50A contacting a bottom surface and sidewalls of each of the at least one line trench 49 and comprising a planar gate dielectric portion overlying the substrate; a gate electrode (52A, 54A) comprising a planar gate electrode portion (52P, 54A) that overlies the planar gate dielectric portion and at least one gate electrode fin portion 52F located within the at least one line trench 49; and a dielectric gate spacer 56A laterally surrounding the gate electrode (52A, 54A) and comprising at least one pair of downwardprotruding portions 56P vertically extending into the at least one line trench 49.
[0230] Embodiments of the present disclosure can be employed to provide a high voltage field effect transistor with gate fins (such as the gate electrode fin portion 52F). The line trenches and the gate electrode fin portions 52F has the effect of increasing the channel area per unit device area as seen in a plan view. Therefore, the width of the first field effect transistor 60A along the second horizontal direction may be reduced by at least 30%, such as 30 to 40% without a corresponding increase in the substrate bias threshold voltage increase. Furthermore, the smaller width does not reduce the channel surface area, which in turn does not negatively change the gate dielectric capacitance. The smaller width increases the device density. Furthermore, the substrate sensitivity of the first field effect transistor 60A may be reduced without reducing the depletion width.
[0231] Referring to FIGS. 25A - 25E, a third exemplary structure according to a third embodiment of the present disclosure is illustrated, which comprises a semiconductor substrate 8 including a semiconductor material layer 10. The semiconductor substrate 8 and the semiconductor material layer 10 may be the same as the first exemplary structure illustrated in FIGS. 1 A and IB.
[0232] A pad silicon oxide layer (not shown), a pad silicon nitride layer (not shown), and a photoresist layer (not shown) can be sequentially formed over the semiconductor material layer 10. The photoresist layer can be lithographically patterned to form openings in areas that laterally surround active regions, each of which are areas in which a semiconductor device is to be subsequently formed. For example, an active region AR may include an area in which a combination of a source region, a channel region, and a drain region of a field effect transistor is to be subsequently formed. While the illustrated region of the third exemplary structure includes an area of a single active region AR, it is understood that the third exemplary structure may comprise additional active regions. In one embodiment, the shape of a patterned portion of the photoresist layer may be rectangular.
[0233] An anisotropic etch process can be performed to transfer the pattern of the openings in the photoresist layer through the pad silicon nitride layer, the pad silicon oxide layer, and an upper portion of the semiconductor material layer 10. Shallow trenches can be formed in volumes from which the material of the semiconductor material layer 10 is etched. The shallow trenches can be interconnected with each other, and laterally surround each of the transistor active regions AR. The transistor active regions AR are portions of the semiconductor material layer 10 that are located above the horizontal plane including the bottom surfaces of the shallow trenches and laterally surrounded by a continuous set of sidewalls of the shallow trenches. In other words, each unetched portion of the semiconductor material layer 10 laterally surrounded by the shallow trenches constitutes a transistor active region AR. The photoresist layer can be subsequently removed, for example, by ashing.
[0234] At least one dielectric fill material can be deposited in the shallow trenches to form a dielectric fill material layer. The at least one dielectric fill material may include undoped silicate glass. The at least one dielectric fill material may be deposited by a conformal deposition process, such as a chemical vapor deposition process. A chemical mechanical planarization process can be performed to remove portions of the dielectric fill material layer from above the horizontal plane including the top surfaces of the pad silicon nitride layer. A recess etch can be performed to vertically recess remaining portions of the dielectric fill material layer such that remaining portions of the dielectric fill material layer have top surfaces at, or about, the horizontal plane including the top surface of the silicon oxide pad layer. Each remaining portion of the dielectric fill material that laterally surrounds a portion of the semiconductor material layer 10 constitutes a shallow trench isolation structure 20. The silicon nitride pad layer can be subsequently removed selective to the silicon oxide pad layer, for example, by a wet etch process employing hot phosphoric acid. A wet etch process employing dilute hydrofluoric acid may be performed to remove the silicon oxide pad layer. An upper portion of each shallow trench isolation structure may be collaterally recessed during removal of the silicon oxide pad layer.
[0235] Generally, a shallow trench isolation structure 20 can be formed in an upper portion of a semiconductor substrate 8. The shallow trench isolation structure 20 laterally surrounds a transistor active region AR, which is a portion of the semiconductor substrate 8. In one embodiment, the shallow trench isolation structure 20 comprises a pair of first shallow trench isolation structure walls 21 that laterally extend along the first horizontal direction hdl and contacting the transistor active region AR, and a pair of second shallow trench isolation structure walls 22 that laterally extend along the second horizontal direction hd2 and adjoined to the pair of first shallow trench isolation structure walls 21 and contacting the transistor active region AR. In one embodiment, the pair of second shallow trench isolation structure walls 22 may be tapered relative to a vertical plane laterally extending along the second horizontal direction hd2. The vertical extent of the shallow trench isolation structure 20, and/or the depth of the bottom surface of the shallow trench isolation structure 20 relative to the horizontal plane including the top surface of the semiconductor material layer 10, may be in a range from 200 nm to 800 nm, although lesser and greater thicknesses may also be employed.
[0236] Referring to FIGS. 26A - 26E, a photoresist layer (not shown) can be applied over the top surfaces of the transistor active region AR and the shallow trench isolation structure 20, and can be lithographically patterned to form at least one line-shaped opening (i.e., an opening having a uniform width) that laterally extends along the first horizontal direction hdl and extends over(e.g., straddles) the transistor active region AR. An anisotropic etch process can be performed to etch upper portions of the transistor active region AR and underlying portions of the semiconductor material layer 10 that are not masked by the photoresist layer. The chemistry of the anisotropic etch process can be selected such that the anisotropic etch process etches the semiconductor material of the semiconductor material layer 10 selective to the dielectric material (such as silicon oxide) of the shallow trench isolation structure 20. At least one line trench 59 can be formed in volume from which the semiconductor material of the semiconductor material layer 10 is etched.
[0237] Generally, the at least one line trench 59 comprises volumes from which a material of the transistor active region AR is removed. In one embodiment the at least one line trench 59 may comprise a plurality of line trenches 59 that extend (i.e., are elongated) in the first horizontal direction hdl and are laterally spaced apart along the second horizontal direction hd2. In one embodiment, the at least one line trench 59 may comprise a single line trench 59, two line trenches 59, three line trenches 59, or four or more line trenches 59.
[0238] In one embodiment, each of at least one line trench 59 laterally extends along the first horizontal direction hdl, and vertically extend from a planar top surface of the transistor active region AR along a vertical direction into the semiconductor substrate 8. The depth of the at least one line trench 59 may be greater than, equal to, or less than, the vertical extent of the shallow trench isolation structure 20. The bottommost surface of each of the at least one line trench 59 may be located below, at, or above, the horizontal plane including the bottom surface of the shallow trench isolation structure 20. In one embodiment, the at least one line trench 59 has a greater depth from a horizontal plane including the planar top surface of the transistor active region AR than a bottom surface of the shallow trench isolation structure 20 has from the horizontal plane including the planar top surface of the transistor active region AR. In one embodiment, the depth of the at least one line trench 59, i.e., the vertical distance between the bottommost surface of the at least one line trench 59 and the horizontal plane including the top surface of the semiconductor material layer 10, may be in a range from 100 nm to 8,000 nm, such as from 200 nm to 4,000 nm and/or from 300 nm to 2,000 nm, although lesser and greater depths may also be employed.
[0239] In one embodiment, lengthwise sidewalls of the at least one line trench 59 are parallel to the first horizontal direction hdl, and are perpendicular to the second horizontal direction hd2. Generally, the at least one line trench 59 can be formed in the transistor active region AR such that the at least one trench 59 laterally extends from a first sidewall 22 of the shallow trench isolation structure 20 and to a second sidewall 22 of the shallow trench isolation structure 20. In one embodiment, the first sidewall 22 and the second sidewall 22 of the shallow trench isolation structure 20 laterally extend along a second horizontal direction hd2 that is perpendicular to the first horizontal direction hdl . The length of each line trench 59 along the first horizontal direction hdl, i.e., the lateral distance between the first sidewall and the second sidewall of the shallow trench isolation structure can be in a range from 50 nm to 10,000 nm, such as from 100 nm to 5,000 nm and/or from 200 nm to 3,000 nm and/or from 300 nm to 2,000 nm, although lesser and greater lengths may also be employed. The width of each line trench 59 may be less than the length of the respective line trench 59, and may be in a range from 10 nm to 2,000 nm, such as from 20 nm to 1,000 nm and/or from 40 nm to 600 nm and/or from 60 nm to 400 nm, although lesser and greater lengths may also be employed.
[0240] In one embodiment, the least one line trench 59 laterally extends along the first horizontal direction hdl from one of the first shallow trench isolation structure walls 22 to another of the first shallow trench isolation structure walls 22. Thus, sidewall segments of the shallow trench isolation structure 20 are physically exposed to the at least one line trench 59. In one embodiment shown in FIG. 26D, the pair of physically exposed second shallow trench isolation structure walls 22 may be tapered at a taper angle a relative to a vertical plane laterally extending along the second horizontal direction hd2. In this case, boundaries of each of the at least one line trench 59 comprise segments of the pair of second shallow trench isolation structure walls 22.
[0241] In one embodiment, each of the at least one line trench 59 comprises a contoured bottom surface having a concave vertical cross-section profile and laterally extending straight along the first horizontal direction hdl. In one embodiment, each of the at least one line trench 59 has a horizontal cross-sectional shape of a respective rectangle within each horizontal plane between a first horizontal plane including of a bottom surface of the shallow trench isolation structure 20 than at a second horizontal plane including a top surface of the shallow trench isolation structure 20. In one embodiment, sidewalls 21 and 22 of the shallow trench isolation structure 20 may be tapered with a taper angle a in a range from 0.1 degree to 10 degrees, such as from 0.3 degree to 5 degrees. In one embodiment shown in FIG. 26A, the at least one line trench 59 has a greater width along the first horizontal direction hdl at a first horizontal plane hpl including of a bottom surface of the shallow trench isolation structure 20 than at a second horizontal plane hp2 including a top surface of the shallow trench isolation structure 20 due to the taper angle of the sidewalls of the shallow trench isolation structure 20.
[0242] Referring to FIGS. 27A - 27E, a gate dielectric layer 50L can be formed over the physically exposed surfaces of the semiconductor material layer 10. The gate dielectric layer 50L includes a dielectric material having a thickness suitable for operation of a high voltage field effect transistor. In one embodiment, the gate dielectric layer 50L may be formed by conformal deposition of at least one dielectric material, which may include silicon oxide, silicon oxynitride, and/or a dielectric metal oxide (such as aluminum oxide or a transition metal oxide). Alternatively or additionally, the gate dielectric layer 50L can be conformally formed on all physically exposed surfaces of the semiconductor material layer 10, for example, by thermal oxidation of the physically exposed surface portions of the semiconductor material layer 10. If the semiconductor material layer 10 includes single crystalline silicon, the gate dielectric layer can consist essentially of thermal silicon oxide. The gate dielectric layer 50L continuous extends over all surfaces of the at least one line trench 59 and over the top surface of the transistor active regions AR in the semiconductor substrate 8. In one embodiment, the gate dielectric layer 50L may consist essentially of a semiconductor oxide of a material of the semiconductor substrate 8, and may have the same thickness throughout. In one embodiment, the gate dielectric layer 50L can be formed directly on sidewall segments of the shallow trench isolation structure 20 in the at least one line trench 59 and over a top surface of the transistor active region AR. The thickness of the gate dielectric layer 50L can be in a range from 6 nm to 100 nm, such as from 10 nm to 60 nm, although lesser and greater thicknesses can also be employed.
[0243] Referring to FIGS. 28A - 28E, a gate electrode material is deposited over the gate dielectric layer 50L. In one embodiment, the gate electrode material includes a semiconductor gate electrode material layer 52L, a metallic gate electrode material layer 54L, and a gate capping dielectric layer 58L which are sequentially deposited over the gate dielectric layer 50L. In other embodiments, the gate capping dielectric layer 58L and/or one of the semiconductor gate electrode material layer 52L or the metallic gate electrode material layer 54L may be omitted.
[0244] The semiconductor gate electrode material layer 52L includes a doped semiconductor material, such as doped polysilicon. The semiconductor gate electrode material layer 52L can be conformally deposited in remaining volumes of the at least one line trench 49 and over the horizontally-extending portions of the gate dielectric layer 50L. For example, the semiconductor gate electrode material layer 52L can be deposited by chemical vapor deposition (CVD). The thickness of the semiconductor gate electrode material layer 52L can be greater than one half of the width of each line trench 59, and the at least one line trench 59 can be completely filled with the combination of the gate dielectric layer 50L and the semiconductor gate electrode material layer 52L. In one embodiment, the thickness of the semiconductor gate electrode material layer 52L may be in a range from 30 nm to 1,000 nm such as from 60 nm to 300 nm, although lesser and greater thicknesses may also be employed.
[0245] The metallic gate electrode material layer 54L can be deposited directly on top surfaces of the semiconductor gate electrode material layer 52L. The metallic gate electrode material layer 54L comprises metallic material such as a transition metal (e.g., W), a conductive metallic nitride material (such as TiN, TaN, or WN), or a metal silicide material. The metallic gate electrode material layer 54L may be deposited by physical vapor deposition and/or chemical vapor deposition. The metallic gate electrode material layer 54L can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed. In one embodiment, the metallic gate electrode material layer 54L does not extend into the line trenches 59 and is located entirely above the top surface of the line trenches 59.
[0246] The gate capping dielectric layer 58L can be subsequently deposited over the metallic gate electrode material layer 54L. The gate capping dielectric layer 58L can include a passivation dielectric material, such as silicon nitride. The gate capping dielectric layer 58L can be deposited, for example, by chemical vapor deposition. The thickness of the gate capping dielectric layer 58L can be in a range from 10 nm to 100 nm, such as from 20 nm to 60 nm, although lesser and greater thicknesses may also be employed.
[0247] Referring to FIGS. 29A - 29E, a photoresist layer (not shown) can be applied over the gate capping dielectric layer 58L, and can be patterned into discrete photoresist material portions by lithographic exposure and development. Each patterned photoresist material portion can have a shape of a respective gate stack to be subsequently formed. In one embodiment, the transistor active region AR may have a rectangular horizontal cross- sectional shape. In this case, a patterned photoresist material portion may have a rectangular horizontal cross-sectional shape having a greater dimension along the first horizontal direction hdl than the lateral dimension of the underlying transistor active region AR along the first horizontal direction hdl. The lateral dimension of the patterned photoresist material portion may extend over the entire area of the at least one line trench 59. [0248] An anisotropic etch process can be performed to sequentially etch the gate capping dielectric layer 58L, the metallic gate electrode material layer 54L, the semiconductor gate electrode material layer 52L, and the gate dielectric layer 50L. The anisotropic etch process may comprise a set of anisotropic etch steps that etches a respective material layer selective to the material of a respective underlying layer. For example, the terminal anisotropic etch step of the anisotropic etch process may anisotropically etch unmasked portions of the gate dielectric layer 50L selective to the material of the semiconductor material layer 10.
[0249] A contiguous set of remaining portions of the layer stack including the gate capping dielectric layer 58L, the metallic gate electrode material layer 54L, the semiconductor gate electrode material layer 52L, and the gate dielectric layer 50L comprises a gate stack (50, 52, 54, 58). The gate stack (50, 52, 54, 58) includes a gate dielectric 50 that is a patterned portion of the gate dielectric layer 50L, at least one of a semiconductor gate electrode portion 52 that is a patterned portion of the semiconductor gate electrode material layer 52L and a metallic gate electrode portion 54 that is a patterned portion of the metallic gate electrode material layer 54L, and optionally a gate capping dielectric 58 that is a patterned portion of the gate capping dielectric layer 58L. The semiconductor gate electrode portion 52 includes a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F, such as at least two fin portions 52F. The planar semiconductor gate electrode portion 52P is a portion of the semiconductor gate electrode portion 52 that overlies the horizontal plane including the top surface of the gate dielectric 50. Each gate electrode fin portion 52F is a portion of the semiconductor gate electrode portion 52 located in the respective line trench 59 below the horizontal plane including the top surface of the gate dielectric 50. The combination of the semiconductor gate electrode portion 52 and the metallic gate electrode portion 54 constitutes a gate electrode (52, 54). In alternative embodiments, the entire gate electrode may comprise a single conductive material, such as a heavily doped semiconductor material or a metallic material.
[0250] The gate dielectric 50 comprises vertically-extending portions and a horizontallyextending portion adjoined to an upper end of each of the vertically-extending portions. The gate dielectric 50 is formed on and directly contacts the at least one line trench 59 and a segment of a top surface of the transistor active region AR. In one embodiment, the gate dielectric 50 contacts all surfaces of the at least one line trench 59, such as the plurality of line trenches 59. The bottom portions of the gate dielectric located in the line trenches 59 may be horizontal or curved, depending on the shape of the bottom of the line trenches 59. [0251] The semiconductor gate electrode portion 52 comprises a planar semiconductor gate electrode portion 52P and at least one gate electrode fin portion 52F (such as a plurality of gate electrode fin portions 52F). The gate electrode (52, 54) comprises a planar gate electrode portion (52P, 54) that overlies the transistor active region AR, and at least one gate electrode fin portion 52F located within a respective one of the at least one line trench 59. [0252] Electrical dopants can be implanted into portions of the semiconductor material layer 10 that are not masked by the gate stacks (50, 52, 54, 58) to form source/drain extension regions (31, 39) (i.e., low doped regions), which may include a source extension region 31 and a drain extension region 39. Generally, each of the source/drain extension regions (31, 39) can have a doping of an opposite conductivity type than the conductivity type of a remaining portion of the transistor active region AR on which the source/drain extension regions (31, 39) are formed. In other words, if the transistor active region AR in the semiconductor material layer 10 has a doping of a first conductivity type, then the source/drain extension regions (31, 39) have a doping of a second conductivity type that is the opposite of the first conductivity type. For example, if the first conductivity type is p- type, the second conductivity type is n-type, and vice versa. The atomic concentration of dopants in the source/drain extension regions (31, 39) may be in a range from 1.0 x 1017/cm3 to 1.0 x 102%m3, although lesser and greater dopant concentrations may also be employed. [0253] A contoured channel region 10C is formed underneath a contoured interface between the semiconductor material layer 10 and the gate dielectric 50. The contoured channel region 10C continuously extends from the source extension region 31 to the drain extension region 39 underneath the planar top surface of the transistor active region AR and underneath the at least one line trench 59. Each of the at least one line trench 59 is laterally spaced from each of the source extension region 31 and the drain extension region 39 by a respective horizontally-extending portion of the contoured channel region 10C underlying the planar top surface of the transistor active region AR. In one embodiment, all surfaces of the at least one line trench 59 can be surfaces of the contoured channel region 10C. [0254] The gate dielectric 50 can contact all surfaces of the at least one line trench 59, and can extend over an entirety of the contoured channel region 10C. In one embodiment, the gate dielectric 50 comprises a plurality of planar gate dielectric portions 50P that overlies the planar top surface of the transistor active region AR and underlies the planar gate electrode portion 52P, and at least one embedded gate dielectric portion 50U (i.e., a U-shaped portion) comprising outer surface segments in contact with the contoured channel region 10C and inner surface segments in contact with the at least one gate electrode fin portion 52F. In one embodiment, the gate dielectric 50 contacts a pair of second shallow trench isolation structure walls 22 continuously between a first horizontal plane hpl including of a bottom surface of the shallow trench isolation structure 20 and a second horizontal plane hp2 including a top surface of the shallow trench isolation structure 20.
[0255] Referring to FIGS. 30A - 30E, at least one dielectric spacer material layer can be conformally deposited over the gate stack (50, 52, 54, 58). An anisotropic etch process can be performed to remove horizontally-extending portions of the at least one dielectric spacer material layer. The at least one dielectric spacer material layer includes a dielectric material, such as silicon oxide and/or silicon nitride, and may be formed by at least one chemical vapor deposition process such as at least one low pressure chemical vapor deposition (LPCVD) process. A remaining portion of the at least one dielectric spacer material layer comprises a dielectric gate spacer (i.e., sidewall spacer) 56 that laterally surrounds the gate stack (50, 52, 54, 58).
[0256] Electrical dopants of the second conductivity type can be implanted into unmasked portions of the semiconductor material layer 10 that are not masked by the gate stack (50, 52, 54, 58) and the dielectric gate spacer 56 to form deep source/drain regions (32, 38). The deep source/drain region comprise a deep source region 32 and a deep drain region 38. In one embodiment, the atomic concentration of dopants in the deep source/drain regions (32, 38) may be in a range from 1.0 x 1018/cm3 to 2.0 x 1021/cm3, although lesser and greater dopant concentrations may also be employed. The deep source region 32 merges with the source extension region 31 to form a source region (31, 32), and the deep drain region 38 merges with the drain extension region 39 to form a drain region (38, 39). In other words, the source region (31, 32) includes a combination of the source extension region 31 and the deep source region 32. The drain region (38, 39) includes a combination of the drain extension region 39 and the deep drain region 38.
[0257] In some embodiment, the semiconductor material layer 10 (including the contoured channel region 10C) may include dopants of the first conductivity at an atomic concentration in a range from 1.0 x 1014/cm3 to 1.0 x 1018/cm3, although lesser and greater dopant concentrations may also be employed. The source region (31, 32) and the drain region (38, 39) are formed in portions of the transistor active region AR that are laterally spaced from each other along the second horizontal direction hd2 by the at least one line trench 59. The source region (32, 32) and the drain region (38, 39) are formed in portions of the transistor active region AR that do not contact, and are laterally offset from, the at least one line trench 59. In one embodiment, the source region (31, 32) and the drain region (38, 39) are located in, or on, the transistor active region AR, and are laterally spaced apart along a second horizontal direction hd2. The contoured channel region 10C continuously extends from the source region (31, 32) to the drain region (38, 39) along the second horizontal direction hd2 (i.e., the source to drain direction) underneath the planar top surface of the transistor active region AR and underneath the at least one line trench 59. The least one line trench 59 laterally extends along the first horizontal direction hdl that is perpendicular to a separation direction (i.e., the source to drain direction hd2) between the source region (31, 32) and the drain region (38, 39). In one embodiment, the contoured channel region 10C has a doping of a first conductivity type, while the source region (31, 32) and the drain region (38, 39) have a doping a second conductivity type that is an opposite of the first conductivity type.
[0258] Referring to FIGS. 31 A - 3 IE, at least one dielectric liner (not shown) can be optionally formed over the physically exposed surfaces of the third exemplary structure by at least one conformal deposition process. The at least one dielectric liner, if present, may comprise, for example, a stack of a silicon oxide liner and a silicon nitride liner. A contactlevel dielectric layer 80 can be deposited over the gate stacks, the source/drain regions, the shallow trench isolation structure 20, and the optional at least one dielectric liner. The contact-level dielectric layer 80 comprises a dielectric material, such as silicon oxide. A planarization process such as a chemical mechanical planarization process can be optionally performed to planarize the top surface of the contact-level dielectric layer 80. The vertical distance between the top surface of the contact-level dielectric layer 80 and the top surfaces of the gate capping dielectrics 58 may be in a range from 50 nm to 500 nm, although lesser and greater vertical distances may also be employed.
[0259] Contact via voids can be formed through the contact-level dielectric layer 80, and can be filled with at least one conductive material. Excess portions of the at least one conductive material can be removed from above the horizontal plane including the top surface of the contact-level dielectric layer 80 by a planarization process, which may include a recess etch process and/or a chemical mechanical planarization process. Each remaining portion of the at least one conductive material constitutes a contact via structure (82, 85, 88). The contact via structures (82, 85, 88) may comprise a source contact via structure 82 contacting the source region (31, 32), a drain contact via structure 88 contacting the drain region (38, 39), and a gate contact via structure 85 contacting the gate electrode (52, 54). [0260] Referring to FIGS. 32A - 32E, a first alternative embodiment of the third exemplary structure can be derived from the third exemplary structure by employing a thermal or plasma conversion process to form the gate dielectric layer 50L. Specifically, surface portions of the transistor active region AR around the at least one line trench 59 and underneath a top surface of the transistor active region AR can be converted into the gate dielectric layer 50L by thermal oxidation or by plasma oxidation. Subsequently, at least one gate electrode material layer (52L, 54L) can be deposited and patterned over the gate dielectric layer 50L. A patterned portion of the at least one gate electrode material layer (52L, 54L) comprises the gate electrode (52, 54). A patterned portion of the gate dielectric layer 50L constitutes a gate dielectric 50. In one embodiment, the gate electrode (52, 54) contacts a pair of second shallow trench isolation structure walls 22. In one embodiment, the contact areas between the semiconductor gate electrode portion 52 and a pair of first shallow trench isolation structure walls 22 may vertically extend from the horizontal plane including the top surface of the transistor active region AR to a horizontal plane that is vertically offset upward from the horizontal plane including the bottom surface of the shallow trench isolation structure 20 by the thickness of the gate dielectric 50. Generally, the at least one line trench 59 may comprise a single line trench 59, two line trenches 59, or three or more line trenches 59.
[0261] Referring to FIG. 33, a second alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure is illustrated, which employs a single line trench 59 in lieu of a pair of line trenches 59 described above. [0262] Referring to FIG. 34, a third alternative embodiment of the third exemplary structure according to the third embodiment of the present disclosure is illustrated, which employs three line trenches 59 in lieu of a pair of line trenches 59 described above. Embodiments are expressly contemplated herein in which four or more line trenches 59 are employed.
[0263] Referring to all drawings and according to various embodiments of the present disclosure, a semiconductor structure is provided, which comprises: a semiconductor substrate 8 containing a shallow trench isolation structure 20 that laterally surrounds a transistor active region AR that is a portion of the semiconductor substrate 8, and a field effect transistor comprising: at least one line trench 59 vertically extending from a planar top surface of the transistor active region AR into the semiconductor substrate 8; a channel region 10C comprising a portion of the transistor active region AR that laterally surrounds or underlies the at least one line trench 59, a gate dielectric 50 contacting all surfaces of the at least one line trench 59 and comprising a planar gate dielectric portion 50P that extends over a top surface of the channel region, a gate electrode (52, 54) comprising a planar gate electrode portion (52P, 54) that overlies the planar gate dielectric portion and at least one gate electrode fin portion 52F located within the at least one line trench 59, and a source region (31, 32) and a drain region (38, 39) located in the transistor active region AR and laterally spaced from each other by the channel region 10C.
[0264] In one embodiment, the channel region 10C comprises a contoured channel region which continuously extends from the source region (31, 32) to the drain region (38, 39) underneath the planar top surface of the transistor active region AR and underneath the at least one line trench 59.
[0265] In one embodiment, the at least one line trench 59 extends along a first horizontal direction hdl, and the source region (31, 32) is separated from the drain region (38, 39) along a second horizontal direction hd2 which is perpendicular to the first horizontal direction hdl. [0266] In one embodiment, the gate dielectric 50 contacts all surfaces of the at least one line trench 59 and extends over an entirety of the contoured channel region 10C. In one embodiment, the gate dielectric 50 comprises a plurality of planar gate dielectric portions 50P that overlie the planar top surface of the transistor active region AR and underlie the planar gate electrode portion (52P, 54), and at least one embedded gate dielectric portion comprising outer surface segments in contact with the contoured channel region 10C and inner surface segments in contact with the at least one gate electrode fin portion 52F.
[0267] In one embodiment, the shallow trench isolation structure 20 comprises a pair of first shallow trench isolation structure walls 21 that laterally extend along the first horizontal direction hdl and contacting the transistor active region AR and a pair of second shallow trench isolation structure walls 22 that laterally extend along the second horizontal direction hd2 and adjoined to the pair of first shallow trench isolation structure walls 21 and contacting the transistor active region AR; and the least one line trench 59 laterally extends along the first horizontal direction hdl from one of the second shallow trench isolation structure walls 22 to another of the second shallow trench isolation structure walls 22.
[0268] In one embodiment, sidewalls of the at least one line trench 59 are parallel to the first horizontal direction hdl and perpendicular to the second horizontal direction hd2. In one embodiment, the at least one line trench 59 comprises a contoured bottom surface having a concave vertical cross-section profile and laterally extending straight along the first horizontal direction hdl.
[0269] In one embodiment, the pair of second shallow trench isolation structure walls 22 is tapered relative to a vertical plane laterally extending along the second horizontal direction hd2; and boundaries of each of the at least one line trench 59 comprise segments of the pair of second shallow trench isolation structure walls 22.
[0270] In one embodiment, each of the at least one line trench 59 has a greater width along the first horizontal direction hdl at a first horizontal plane including of a bottom surface of the shallow trench isolation structure 20 than at a second horizontal plane including a top surface of the shallow trench isolation structure 20.
[0271] In one embodiment, the gate dielectric 50 contacts the pair of second shallow trench isolation structure walls 22 continuously between a first horizontal plane including of a bottom surface of the shallow trench isolation structure 20 and a second horizontal plane including a top surface of the shallow trench isolation structure 20.
[0272] In one embodiment, each of the at least one line trench 59 has a horizontal cross- sectional shape of a respective rectangle within each horizontal plane between a first horizontal plane hpl including of a bottom surface of the shallow trench isolation structure 20 and a second horizontal plane hp2 including a top surface of the shallow trench isolation structure 20.
[0273] In one embodiment, the at least one line trench extends 59 deeper into the semiconductor substrate 8 than the shallow trench isolation structure 20. In one embodiment, the at least one line trench 59 comprises a plurality of line trenches 59 that are laterally spaced apart along the first horizontal direction hdl. In one embodiment, the plurality of line trenches 59 comprise two line trenches, and a portion of the channel region 10C is located between the two line trenches 59.
[0274] In one embodiment, the at least one line trench 59 is laterally spaced from each of the source region (31, 32) and the drain region (38, 39) by a respective horizontally-extending portion of the channel region 10C underlying the planar top surface of the transistor active region AR. In one embodiment, the channel region 10C has a doping of a first conductivity type, the source region (32, 32) and the drain region (38, 39) have a doping a second conductivity type that is an opposite of the first conductivity type, and all surfaces of the at least one line trench 59 are surfaces of the channel region 10C.
[0275] Some embodiments of the present disclosure can be employed to provide a long channel field effect transistor in which the effect channel length is greater than the physical separation distance between a source region (31, 32) and a drain region (38, 39). Such devices can be advantageously employed to provide a high density array of power field effect transistors in a smaller substrate area than prior art field effect transistors that do not employ at least one line trench 59 to provide effective elongation of a channel length through use of a contoured channel region 10C.
[0276] Furthermore, for the transistors shown in FIGS. 31 A, 32A and 34, the multiple gate electrode fins provide a “multiple gate effect” which improves the transistor ON current because a larger volume of silicon in the channel 10C conducts current, instead of only a surface portion of the channel in transistors with planar gate electrodes. The multiple gate effect also helps reduce the drain-to-source sub-threshold leakage. Specifically, the drain side electric field is shielded by the gate electrode fins and generally does not reach the source side. This helps reduce the total OFF current for a relatively short gate length transistor. [0277] Although the foregoing refers to particular preferred embodiments, it will be understood that the disclosure is not so limited. It will occur to those of ordinary skill in the art that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the disclosure. Where an embodiment employing a particular structure and/or configuration is illustrated in the present disclosure, it is understood that the present disclosure may be practiced with any other compatible structures and/or configurations that are functionally equivalent provided that such substitutions are not explicitly forbidden or otherwise known to be impossible to one of ordinary skill in the art. All of the publications, patent applications and patents cited herein are incorporated herein by reference in their entirety.

Claims

WHAT IS CLAIMED IS:
1. A semiconductor structure, comprising: a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a first transistor active region that is a portion of the semiconductor substrate; and a first field effect transistor comprising: at least one line trench extending downward from a top surface of the first transistor active region, laterally extending along a first horizontal direction, and located within the first transistor active region; a channel region comprising a portion of the first transistor active region that laterally surrounds or underlies the at least one line trench; a gate dielectric contacting all surfaces of the at least one line trench and comprising a planar gate dielectric portion that extends over an entirety of a top surface of the channel region; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a source region and a drain region located in the semiconductor substrate and laterally spaced from each other by the channel region.
2. The semiconductor structure of Claim 1, wherein the at least one line trench comprises a plurality of line trenches having a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction and laterally spaced from each other along a second horizontal direction that is perpendicular to the first horizontal direction.
3. The semiconductor structure of Claim 2, wherein the planar gate electrode portion comprises: a pair of lengthwise sidewalls that laterally extend along the first horizontal direction; and a pair of widthwise sidewalls that laterally extend along the second horizontal direction.
-66-
4. The semiconductor structure of Claim 2, wherein each of the plurality of line trenches is located entirely within an area of the planar gate electrode portion in a plan view along a vertical direction that is perpendicular to a top surface of the semiconductor substrate.
5. The semiconductor structure of Claim 2, wherein: each of the plurality of line trenches has a same length along the first horizontal direction and a same width along the second horizontal direction; and the plurality of line trenches are spaced from each other by a same trench spacing.
6. The semiconductor structure of Claim 1, wherein the planar gate dielectric portion comprises a pair of sidewalls that laterally extend along the first horizontal direction and contacting a respective sidewall segment of the shallow trench isolation structure.
7. The semiconductor structure of Claim 1, wherein the planar gate electrode portion comprises a vertical stack including: a planar semiconductor gate electrode portion that is adjoined to the at least one gate electrode fin portion; and a planar metallic gate electrode portion that overlies the planar semiconductor gate electrode portion.
8. The semiconductor structure of Claim 7, wherein the planar semiconductor gate electrode portion and the at least one gate electrode fin portion consist of a same doped semiconductor material.
9. The semiconductor structure of Claim 7, wherein a top surface of the planar semiconductor gate electrode portion is located within a horizontal plane including a top surface of the shallow trench isolation structure.
10. The semiconductor structure of Claim 7, wherein: the planar metallic gate electrode portion has a same lateral extent as the planar semiconductor gate electrode portion along the first horizontal direction; and
-67- the planar metallic gate electrode portion has a greater lateral extent than the planar semiconductor gate electrode portion along a second horizontal direction that is perpendicular to the first horizontal direction and contacts surface segments of a top surface of the shallow trench isolation structure.
11. The semiconductor structure of Claim 1, wherein: the channel region, the source region, and the drain region comprise a respective portion of a single crystalline semiconductor material within the semiconductor substrate; and the at least one gate electrode fin comprises a respective doped poly crystalline semiconductor material portion.
12. The semiconductor structure of Claim 1, wherein: the channel region has a doping of a first conductivity type; the source region and the drain region have a doping a second conductivity type that is an opposite of the first conductivity type; and all surfaces of the at least one line trench are surfaces of the channel region.
13. The semiconductor structure of Claim 1, further comprising: a second transistor active region that comprises an additional portion of the semiconductor substrate; and a second field effect transistor located on the second transistor active region and comprising an additional gate dielectric that comprises an additional planar gate dielectric portion that contacts a top surface of the second transistor active region.
14. The semiconductor structure of Claim 13, wherein the gate dielectric and the additional gate dielectric consist of a semiconductor oxide of a material of the semiconductor substrate and have a same thickness throughout.
15. A method of forming a semiconductor structure, the method comprising: forming at least one line trench in a transistor active region of a semiconductor substrate;
-68- forming a gate dielectric in the at least one line trench and over a top surface of the transistor active region, wherein the gate dielectric contacts all surfaces of the at least one line trench; forming a gate electrode over the gate dielectric, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within a respective one of the at least one line trench; and forming a source region and a drain region in portions of the transistor active region that are laterally spaced from each other by a channel region in a plan view.
16. The method of Claim 15, further comprising: applying and patterning a photoresist layer over the semiconductor substrate, wherein at least one rectangular opening is formed in the photoresist layer; and forming the at least one line trench in the transistor active region by anisotropically etching portions of the transistor active region that are not masked by the photoresist layer, wherein the at least one line trench is formed underneath the at least one rectangular opening in the photoresist layer.
17. The method of Claim 15, further comprising: forming a gate dielectric layer which continuously extends over all surfaces of the at least one line trench and over a top surface of the transistor active region; forming a shallow trench isolation structure in an upper portion of the semiconductor substrate; and patterning the gate dielectric layer after formation of the shallow trench isolation structure, wherein the gate dielectric comprises a patterned portion of the gate dielectric layer.
18. The method of Claim 17, further comprising: depositing a semiconductor gate electrode material layer over the gate dielectric layer, wherein the at least one line trench is filled with the gate dielectric layer and the semiconductor gate electrode material layer, and wherein the shallow trench isolation structure is formed through the semiconductor gate electrode material layer; and
-69- patteming the semiconductor gate electrode material layer after formation of the shallow trench isolation structure, wherein the gate electrode comprises a patterned portion of the semiconductor gate electrode material layer.
19. The method of Claim 18, further comprising: depositing a metallic gate electrode material layer over the semiconductor gate electrode material layer after formation of the shallow trench isolation structure; and patterning the metallic gate electrode material layer, wherein the gate electrode comprises a patterned portion of the metallic gate electrode material layer.
20. The method of Claim 15, wherein: the at least one line trench comprises a plurality of line trenches including a respective pair of lengthwise sidewalls that laterally extend along a first horizontal direction and laterally spaced apart along a second horizontal direction; and each of plurality of line trenches comprises a respective upper periphery that coincides with a periphery of a respective opening in the top surface of the transistor active region.
21. A field effect transistor, comprising: at least one line trench extending downward from a top surface of a first transistor active region located in a substrate, and laterally extending along a first horizontal direction; a gate dielectric contacting a bottom surface and sidewalls of each of the at least one line trench and comprising a planar gate dielectric portion overlying the substrate; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a dielectric gate spacer laterally surrounding the gate electrode and comprising at least one pair of downward-protruding portions vertically extending into the at least one line trench.
-70-
22. The field effect transistor of Claim 21, wherein the dielectric gate spacer contacts a segment of a top surface of the planar gate dielectric portion and sidewalls of vertically- extending portions of the gate dielectric that are located in the at least one line trench.
23. The field effect transistor of Claim 21, wherein bottom surfaces of the at least one pair of downward-protruding portions of the dielectric gate spacer are located within a same horizontal plane as a bottom surface of each of the at least one gate electrode fin portion.
24. The field effect transistor of Claim 21, wherein the at least one pair of downwardprotruding portions of the dielectric gate spacer contacts sidewalls the at least one gate electrode fin portion.
25. The field effect transistor of Claim 24, wherein interfaces between the at least one pair of downward-protruding portions of the dielectric gate spacer and the at least one gate electrode fin portion are perpendicular to the first horizontal direction.
26. The field effect transistor of Claim 21, wherein: the planar gate electrode portion has widthwise gate sidewalls that are perpendicular to the first horizontal direction and laterally spaced apart along the first horizontal direction by a gate length; and the at least one gate electrode fin portion has respective widthwise fin sidewalls that are perpendicular to the first horizontal direction and laterally spaced apart along the first horizontal direction by the gate length.
27. The field effect transistor of Claim 26, wherein each of the widthwise fin sidewalls is vertically coincident with a respective one of the widthwise gate sidewalls.
28. The field effect transistor of Claim 21, further comprising a source extension region in contact with end segments of a bottom surface and sidewalls of the at least one line trench and contacting a bottom surface of the planar gate dielectric portion.
-71-
29. The field effect transistor of Claim 28, further comprising a deep source region and a deep drain region located in the substrate and laterally spaced from each other by the at least one line trench, wherein the source extension region is adjoined to the deep source region to provide a source region.
30. The field effect transistor of Claim 29, wherein a bottommost surface of the source extension region is located at a greater depth than a bottom surface of the deep source region from a horizontal plane including an interface between the substrate and the planar gate dielectric portion.
31. The field effect transistor of Claim 30, wherein a bottom surface of a horizontallyextending portion of the source extension region that is laterally offset from the at least one line trench is located at a lesser depth than the bottom surface of the deep source region from the horizontal plane.
32. The field effect transistor of Claim 21, wherein the gate dielectric contacts all surfaces of the at least one line trench.
33. The field effect transistor of Claim 21, wherein the at least one line trench comprises a plurality of line trenches each having a respective pair of lengthwise sidewalls that laterally extend along the first horizontal direction and laterally spaced from each other along a second horizontal direction that is perpendicular to the first horizontal direction.
34. The field effect transistor of Claim 33, wherein the planar gate electrode portion comprises: a pair of lengthwise sidewalls that laterally extend along the first horizontal direction; and a pair of widthwise sidewalls that laterally extend along the second horizontal direction and having a respective bottom edge that coincides with a top edge of a respective sidewall of the gate dielectric.
35. A method of forming field effect transistor, comprising: forming at least one line trench in a transistor active region in a semiconductor substrate; forming a gate dielectric in the at least one line trench and over a top surface of the semiconductor substrate; forming a gate electrode over the gate dielectric, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within a center portion of the at least one line trench; and forming a dielectric gate spacer around the gate electrode, wherein the dielectric gate spacer comprises downward-protruding portions vertically extending into a respective end portion of the at least one line trench.
36. The method of Claim 35, wherein the at least one gate electrode fin portion and a respective pair of downward-protruding portions are formed in the at least one line trench.
37. The method of Claim 35, further comprising: forming a gate dielectric layer directly on all surfaces of the at least one line trench and on a top surface of the semiconductor substrate; depositing at least one gate electrode material layer over the gate dielectric layer; and patterning the at least one gate electrode material layer by performing an anisotropic etch process that etches unmasked portions of the at least one gate electrode material layer selective to the gate dielectric layer, wherein: a patterned portion of the at least one gate electrode material layer comprises the gate electrode; and a pair of voids is formed within each line trench of the at least one line trench.
38. The method of Claim 37, wherein the dielectric gate spacer is formed by depositing at least one dielectric spacer material layer around the gate electrode and in the voids in the at least one line trench, and by anisotropically etching the at least one dielectric spacer material layer.
39. The method of Claim 35, further comprising forming a source region and a drain region in the semiconductor substrate by implanting electrical dopants, wherein the source region comprises a source extension region having a bottommost surface that underlies a horizontal plane including each bottom surface of the at least one line trench.
40. The method of Claim 35, wherein: the at least one line trench comprises a plurality of line trenches including a respective pair of lengthwise sidewalls that laterally extend along a first horizontal direction and laterally spaced apart along a second horizontal direction; and each of plurality of line trenches comprises a respective upper periphery that coincides with a periphery of a respective opening in the top surface of the semiconductor substrate.
41. A semiconductor structure, comprising: a semiconductor substrate containing a shallow trench isolation structure that laterally surrounds a transistor active region that is a portion of the semiconductor substrate; and a field effect transistor comprising: at least one line trench vertically extending from a planar top surface of the transistor active region into the semiconductor substrate; a channel region comprising a portion of the transistor active region that laterally surrounds or underlies the at least one line trench; a gate dielectric contacting all surfaces of the at least one line trench and comprising a planar gate dielectric portion that extends over a top surface of the channel region; a gate electrode comprising a planar gate electrode portion that overlies the planar gate dielectric portion and at least one gate electrode fin portion located within the at least one line trench; and a source region and a drain region located in the transistor active region and laterally spaced from each other by the channel region.
-74-
42. The semiconductor structure of Claim 41, wherein the channel region comprises a contoured channel region which continuously extends from the source region to the drain region underneath the planar top surface of the transistor active region and underneath the at least one line trench.
43. The semiconductor structure of Claim 42, wherein: the at least one line trench extends along a first horizontal direction; and the source region is separated from the drain region along a second horizontal direction which is perpendicular to the first horizontal direction.
44. The semiconductor structure of Claim 43, wherein: the gate dielectric contacts all surfaces of the at least one line trench and extends over an entirety of the contoured channel region; and the gate dielectric comprises a plurality of planar gate dielectric portions that overlie the planar top surface of the transistor active region and underlie the planar gate electrode portion, at least one embedded gate dielectric portion comprising outer surface segments in contact with the contoured channel region and inner surface segments in contact with the at least one gate electrode fin portion.
45. The semiconductor structure of Claim 43, wherein: the shallow trench isolation structure comprises a pair of first shallow trench isolation structure walls that laterally extend along the first horizontal direction and contacting the transistor active region and a pair of second shallow trench isolation structure walls that laterally extend along the second horizontal direction and adjoined to the pair of first shallow trench isolation structure walls and contacting the transistor active region; the least one line trench laterally extends along the first horizontal direction from one of the second shallow trench isolation structure walls to another one of the second shallow trench isolation structure walls; and sidewalls of the at least one line trench are parallel to the first horizontal direction and perpendicular to the second horizontal direction.
-75-
46. The semiconductor structure of Claim 45, wherein the at least one line trench comprises a contoured bottom surface having a concave vertical cross-section profile and laterally extending straight along the first horizontal direction.
47. The semiconductor structure of Claim 45, wherein: the pair of second shallow trench isolation structure walls is tapered relative to a vertical plane laterally extending along the second horizontal direction; boundaries of each of the at least one line trench comprise segments of the pair of second shallow trench isolation structure walls; and the at least one line trench has a greater width along the first horizontal direction at a first horizontal plane including of a bottom surface of the shallow trench isolation structure than at a second horizontal plane including a top surface of the shallow trench isolation structure.
48. The semiconductor structure of Claim 45, wherein the gate dielectric contacts the pair of second shallow trench isolation structure walls continuously between a first horizontal plane including of a bottom surface of the shallow trench isolation structure and a second horizontal plane including a top surface of the shallow trench isolation structure.
49. The semiconductor structure of Claim 41, wherein each of the at least one line trench has a horizontal cross-sectional shape of a respective rectangle within each horizontal plane between a first horizontal plane including of a bottom surface of the shallow trench isolation structure and a second horizontal plane including a top surface of the shallow trench isolation structure.
50. The semiconductor structure of Claim 41, wherein the at least one line trench extends deeper into the semiconductor substrate than the shallow trench isolation structure.
51. The semiconductor structure of Claim 41, wherein the at least one line trench comprises a plurality of line trenches that are laterally spaced apart along the first horizontal direction.
-76-
52. The semiconductor structure of Claim 51, wherein the plurality of line trenches comprise two line trenches, and a portion of the channel region is located between the two line trenches.
53. The semiconductor structure of Claim 41, wherein the at least one line trench is laterally spaced from each of the source region and the drain region by a respective horizontallyextending portion of the channel region underlying the planar top surface of the transistor active region.
54. The semiconductor structure of Claim 41, wherein: the channel region has a doping of a first conductivity type; the source region and the drain region have a doping a second conductivity type that is an opposite of the first conductivity type; and all surfaces of the at least one line trench comprise surfaces of the channel region.
55. A method of forming a transistor, comprising: forming a shallow trench isolation structure in an upper portion of a semiconductor substrate, wherein the shallow trench isolation structure laterally surrounds a transistor active region; forming at least one line trench in the transistor active region, wherein the at least one trench laterally extends from a first sidewall of the shallow trench isolation structure and to a second sidewall of the shallow trench isolation structure; forming a gate dielectric layer in the at least one line trench and over a top surface of the transistor active region, wherein the gate dielectric layer contacts all surfaces of the at least one line trench; forming a gate electrode over the gate dielectric layer, wherein the gate electrode comprises a planar gate electrode portion that overlies the transistor active region and at least one gate electrode fin portion located within the at least one line trench; and forming a source region and a drain region in portions of the transistor active region that are laterally spaced from each other by the at least one line trench.
-77-
56. The method of Claim 55, wherein the source region and the drain region are formed in portions of the transistor active region that do not contact, and are laterally offset from, the at least one line trench.
57. The method of Claim 55, wherein: the least one line trench laterally extends along a first horizontal direction that is perpendicular to a second horizontal direction between the source region and the drain region; and the first sidewall and the second sidewall of the shallow trench isolation structure laterally extend along the second horizontal direction that is perpendicular to the first horizontal direction.
58. The method of Claim 55, wherein the at least one line trench is formed by: applying and patterning a photoresist layer over the transistor active region and the shallow trench isolation structure; and anisotropically etching portions of the transistor active region that are not masked by the photoresist layer such that sidewall segments of the shallow trench isolation structure are physically exposed in the at least one line trench.
59. The method of Claim 58, wherein the gate dielectric layer is formed directly on the sidewall segments of the shallow trench isolation structure in the at least one line trench and over a top surface of the transistor active region.
60. The method of Claim 58, further comprising: converting surface portions of the transistor active region around the at least one line trench and underneath a top surface of the transistor active region into the gate dielectric layer by thermal oxidation or by plasma oxidation; and depositing and patterning a gate electrode material layer over the gate dielectric layer, wherein a patterned portion of the gate electrode material layer comprises the gate electrode.
-78-
PCT/US2022/028601 2021-09-14 2022-05-10 Field effect transistors with gate fins and method of making the same WO2023043504A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202280050021.7A CN117652216A (en) 2021-09-14 2022-05-10 Field effect transistor with gate fin and method of manufacturing the same

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US17/474,699 US11967626B2 (en) 2021-09-14 2021-09-14 Field effect transistors with gate fins and method of making the same
US17/474,760 2021-09-14
US17/474,760 US20230083560A1 (en) 2021-09-14 2021-09-14 Field effect transistors with gate fins and method of making the same
US17/474,699 2021-09-14
US17/562,635 2021-12-27
US17/562,635 US20230082824A1 (en) 2021-09-14 2021-12-27 Field effect transistors with gate fins and method of making the same

Publications (1)

Publication Number Publication Date
WO2023043504A1 true WO2023043504A1 (en) 2023-03-23

Family

ID=85603395

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2022/028601 WO2023043504A1 (en) 2021-09-14 2022-05-10 Field effect transistors with gate fins and method of making the same

Country Status (1)

Country Link
WO (1) WO2023043504A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150243748A1 (en) * 2014-02-26 2015-08-27 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
WO2020263338A1 (en) * 2019-06-28 2020-12-30 Sandisk Technologies Llc Ferroelectric memory device with select gate transistor and method of forming the same
US20210249523A1 (en) * 2017-11-30 2021-08-12 Intel Corporation Fin cut and fin trim isolation for advanced integrated circuit structure fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150243748A1 (en) * 2014-02-26 2015-08-27 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
US20210249523A1 (en) * 2017-11-30 2021-08-12 Intel Corporation Fin cut and fin trim isolation for advanced integrated circuit structure fabrication
WO2020263338A1 (en) * 2019-06-28 2020-12-30 Sandisk Technologies Llc Ferroelectric memory device with select gate transistor and method of forming the same

Similar Documents

Publication Publication Date Title
US11532500B2 (en) FinFET structure with different fin heights and method for forming the same
US11342444B2 (en) Dielectric spacer to prevent contacting shorting
US9570363B2 (en) Vertically integrated memory cell
CN110620110B (en) Semiconductor device including fin field effect transistor
US20080268588A1 (en) RECESSED GATE CHANNEL WITH LOW Vt CORNER
US20220109070A1 (en) High voltage field effect transistor with vertical current paths and method of making the same
US8969189B2 (en) Contact structure employing a self-aligned gate cap
KR100541515B1 (en) Semiconductor device having a vertical channel pattern and method of manufacturing the same
US11967626B2 (en) Field effect transistors with gate fins and method of making the same
US11450768B2 (en) High voltage field effect transistor with vertical current paths and method of making the same
US20230082824A1 (en) Field effect transistors with gate fins and method of making the same
US10916470B2 (en) Modified dielectric fill between the contacts of field-effect transistors
US10461191B2 (en) Semiconductor device with undercutted-gate and method of fabricating the same
US20050196924A1 (en) Semiconductor device and its manufacture method
KR102350485B1 (en) Semiconductor device
US20220278209A1 (en) High voltage field effect transistors with metal-insulator-semiconductor contacts and method of making the same
US20220109054A1 (en) High voltage field effect transistor with vertical current paths and method of making the same
CN115621195A (en) Semiconductor device and method for manufacturing the same
WO2023043504A1 (en) Field effect transistors with gate fins and method of making the same
US20230083560A1 (en) Field effect transistors with gate fins and method of making the same
CN117652216A (en) Field effect transistor with gate fin and method of manufacturing the same
US20240063278A1 (en) Transistor circuits including fringeless transistors and method of making the same
KR100597459B1 (en) Method for fabricating gate electrode of semiconductor device
US20240072042A1 (en) Transistor circuits including fringeless transistors and method of making the same
US20240147730A1 (en) Transistor circuits including fringeless transistors and method of making the same