WO2022245021A1 - 박막 증착 방법 - Google Patents

박막 증착 방법 Download PDF

Info

Publication number
WO2022245021A1
WO2022245021A1 PCT/KR2022/006409 KR2022006409W WO2022245021A1 WO 2022245021 A1 WO2022245021 A1 WO 2022245021A1 KR 2022006409 W KR2022006409 W KR 2022006409W WO 2022245021 A1 WO2022245021 A1 WO 2022245021A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
gate insulating
silicon carbide
insulating film
Prior art date
Application number
PCT/KR2022/006409
Other languages
English (en)
French (fr)
Inventor
김윤정
이정균
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to CN202280034996.0A priority Critical patent/CN117321731A/zh
Priority to US18/560,924 priority patent/US20240258104A1/en
Priority to JP2023571349A priority patent/JP2024523781A/ja
Publication of WO2022245021A1 publication Critical patent/WO2022245021A1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L2029/42388Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor characterised by the shape of the insulating material

Definitions

  • the present invention relates to a thin film deposition method, and more particularly, to a thin film deposition method for forming a gate insulating film on a silicon carbide substrate.
  • Silicon carbide is a semiconductor with a higher band gap than that of general silicon, and has a higher breakdown voltage than silicon, while exhibiting low loss and excellent heat dissipation.
  • the dielectric breakdown field is about 10 times superior to that of silicon, there is a great advantage in that voltage drop can be reduced to about 1/200 compared to semiconductor devices using silicon. Accordingly, silicon carbide is regarded as a promising semiconductor material that can replace silicon in the field of display devices or power semiconductor devices.
  • a transistor is used as a switching circuit in a display device or a semiconductor device.
  • Such a transistor has a gate insulating film for blocking current between a source and a drain.
  • a gate insulating film formed on a silicon carbide substrate was deposited at a high temperature of about 1200°C.
  • the present invention provides a thin film deposition method capable of forming a gate insulating film on a silicon carbide substrate at a low temperature.
  • a thin film deposition method includes preparing a silicon carbide substrate having a plurality of semiconductor regions; and forming a gate insulating film on the silicon carbide substrate by an atomic layer deposition process at a temperature of 100 to 400 °C.
  • the method may further include surface treating the silicon carbide substrate with plasma before forming the gate insulating layer.
  • Forming the gate insulating film may include supplying source gas onto the hydrocarbon substrate; pre-treating the hydrocarbon substrate with plasma; supplying a reactive gas onto the hydrocarbon substrate; and post-processing the hydrocarbon substrate with plasma, wherein the process cycle including supplying the raw material gas, pre-processing, supplying a reactive gas, and post-processing may be performed a plurality of times. have.
  • the pre-processing and post-processing may include spraying hydrogen gas onto the hydrocarbon substrate; and generating plasma on the hydrocarbon substrate by discharging the hydrogen gas.
  • the gate insulating layer may include a high-K dielectric layer.
  • the gate insulating layer may further include a silicon oxide layer or a silicon nitride layer provided on at least one of upper and lower portions of the high-K dielectric layer.
  • a silicon carbide substrate having a source region, a well region, and a drain region may be prepared, and in the forming of the gate insulating layer, a gate insulating layer may be formed on the well region.
  • a gate insulating film may be formed on a silicon carbide substrate using a low-temperature process.
  • a display device or a power semiconductor device having a high breakdown voltage and excellent heat dissipation can be manufactured.
  • FIG. 1 is a diagram schematically illustrating a deposition apparatus according to an embodiment of the present invention
  • FIG. 2 is a view schematically showing a thin film deposition method according to an embodiment of the present invention.
  • FIG. 3 is a view for explaining a process cycle of forming a gate insulating film according to an embodiment of the present invention
  • FIG. 4 is a view showing an example of a thin film transistor manufactured according to an embodiment of the present invention.
  • FIG. 5 is a view showing an example of a power semiconductor device manufactured according to an embodiment of the present invention.
  • FIG. 1 is a diagram schematically illustrating a deposition apparatus according to an embodiment of the present invention.
  • a deposition apparatus is an apparatus for depositing a thin film, that is, a gate insulating film on a silicon carbide substrate, and is provided in a chamber 10 and the chamber 10, and the chamber ( 10) a substrate support part 20 for supporting a substrate provided therein, a gas disposed in the chamber 10 to face the substrate support part 20 and injecting a process gas toward the substrate support part 20; It includes a dispensing unit 30 and an RF power supply 50 for applying power to generate plasma in the chamber 10 .
  • the deposition apparatus may further include a gas supply unit 40 for supplying gas to the gas dispensing unit 30, and may further include a controller (not shown) for controlling the RF power supply 50. have.
  • a first gas supply path for supplying a first gas for example, a raw material gas
  • a second gas supply path for supplying a second gas for example, a reaction gas
  • the chamber 10 prepares a predetermined process space and keeps it airtight.
  • the chamber 10 includes a body 12 having a predetermined process space including a substantially circular or quadrangular flat surface and a sidewall portion extending upward from the flat surface, and a substantially circular or quadrangular body 12 positioned on the chamber ( 10) may include a cover 14 to keep it airtight.
  • the chamber 10 is not limited thereto and may be manufactured in various shapes corresponding to the shape of the substrate.
  • An exhaust port may be formed in a predetermined area of the lower surface of the chamber 10 , and an exhaust pipe (not shown) connected to the exhaust port may be provided outside the chamber 10 .
  • the exhaust pipe may be connected to an exhaust device (not shown).
  • a vacuum pump such as a turbo molecular pump may be used. Therefore, the inside of the chamber 10 can be vacuumed up to a predetermined reduced pressure atmosphere, for example, a predetermined pressure of 0.1 mTorr or less by the exhaust device.
  • the exhaust pipe may be installed not only on the lower surface of the chamber 10 but also on the side surface of the chamber 10 under the substrate support 20 to be described later.
  • a plurality of exhaust pipes and corresponding exhaust devices may be further installed to reduce the exhausting time.
  • a substrate provided into the chamber 10 may be seated on the substrate support 20 for a thin film forming process.
  • the substrate may include a silicon carbide substrate containing silicon carbide (SiC) as a main component.
  • the substrate may include a silicon carbide single crystal wafer, and may include a silicon carbide single crystal wafer in which a plurality of semiconductor regions are formed by implanting a dopant into the silicon carbide single crystal wafer.
  • the plurality of semiconductor regions may include a source region, a drain region, and a well region.
  • the substrate support 20 may be provided with, for example, an electrostatic chuck so that such a substrate may be seated and supported, and may adsorb and hold the substrate by electrostatic force, or may support the substrate by vacuum adsorption or mechanical force.
  • the substrate support 20 may be provided in a shape corresponding to the shape of the substrate, for example, circular or rectangular.
  • the substrate support unit 20 may include a substrate support on which a substrate is seated and an elevator 22 disposed under the substrate support to move the substrate support up and down.
  • the substrate support may be manufactured to be larger than the substrate, and the elevator 22 is provided to support at least one region of the substrate support, for example, the center, and when the substrate is seated on the substrate support, the substrate support is moved to a gas injection unit ( 30) can be moved closer.
  • a heater (not shown) may be installed inside the substrate support. The heater generates heat to a predetermined temperature to heat the substrate support and the substrate seated on the substrate support, so that a thin film is uniformly deposited on the substrate.
  • the gas supply unit 40 may be installed to pass through the lid 14 of the chamber 10, and a first gas supplier 42 is provided to supply the first gas and the second gas to the gas dispensing unit 30, respectively. and a second gas supplier 44 .
  • the first gas may include a source gas for forming the gate insulating layer
  • the second gas may include a reaction gas.
  • each of the first gas supplier 42 and the second gas supplier 44 does not necessarily provide one gas, and the first gas supplier 42 and the second gas supplier 44 each supply a plurality of gases. It may be configured to simultaneously supply or supply a selected gas from among a plurality of gases.
  • the first gas supplier 42 supplies a gas containing a silicon (Si) component as a source gas, or supplies hafnium (Hf), lanthanum (La), zirconium (Zr), tantalum (Ta), titanium ( A gas containing at least one of Ti), barium (Ba), strontium (Sr), and iridium (Ir) may be supplied.
  • the second gas supplier 44 may supply a gas containing oxygen (O) or nitrogen (N) as a reaction gas.
  • the gas dispensing unit 30 is installed inside the chamber 10, for example, on the lower surface of the chamber lid 12, and inside the gas dispensing unit 30, a first gas is sprayed onto and supplied to the substrate.
  • a first gas supply path and a second gas supply path for spraying and supplying the second gas onto the substrate are formed.
  • the first gas supply path and the second gas supply path are formed to be independent and separated from each other, so that the first gas and the second gas may be separately supplied to the substrate so that they are not mixed in the gas ejection unit 30. .
  • the gas injection unit 30 may include an upper frame 32 and a lower frame 34 .
  • the upper frame 32 is detachably attached to the lower surface of the chamber lid 12 and at the same time, a part of the upper surface, for example, the center of the upper surface is spaced apart from the lower surface of the chamber lid 12 by a predetermined distance.
  • the first gas may diffuse from the first gas supply unit 42 in the space between the upper surface of the upper frame 32 and the lower surface of the chamber lid 12 .
  • the lower frame 34 is installed at a predetermined interval on the lower surface of the upper frame 32 .
  • the second gas supplied from the second gas supplier 44 may diffuse in a space between the upper surface of the lower frame 34 and the lower surface of the upper frame 32 .
  • the upper frame 32 and the lower frame 34 may be integrally formed by being connected along the outer circumferential surface to form a separation space therein, or may be formed in a structure in which the outer circumferential surface is sealed by a separate sealing member. to be.
  • the first gas supplied from the first gas supply unit 42 is diffused in the space between the lower surface of the chamber lid 12 and the upper frame 32, and the upper frame 32 and It may be formed to pass through the lower frame 34 and be supplied into the chamber 10 .
  • the second gas supplied from the second gas supply unit 44 is diffused in the space between the lower surface of the upper frame 32 and the upper surface of the lower frame 34 to form the lower frame ( 34) to be supplied into the chamber 10.
  • the first gas supply path and the second gas supply path may not communicate with each other, whereby the first gas and the second gas pass from the gas supply unit 40 through the gas dispensing unit 30 to the chamber ( 10) It can be supplied separately inside.
  • a first electrode 38 may be installed on the lower surface of the lower frame 34, and the second electrode 36 is spaced apart at a predetermined interval from the lower side of the lower frame 24 and the outer side of the first electrode 28. can be installed.
  • the lower frame 34 and the second electrode 36 may be formed by being connected along the outer circumferential surface, and the outer circumferential surface may be sealed by a separate sealing member.
  • the first gas may pass through the first electrode 38 and be injected onto the substrate, and the second gas may be applied to the first electrode ( 38) and the second electrode 36 may be sprayed onto the substrate through the separation space.
  • RF power from the RF power source 50 may be applied to either one of the lower frame 34 and the second electrode 36 .
  • FIG. 1 a structure in which the lower frame 34 is grounded and RF power is applied to the second electrode 36 is shown as an example.
  • the first electrode 38 installed on the lower surface of the lower frame 34 is also grounded. Therefore, when the RF power source 50 is applied to the second electrode 36, a first activation region, that is, a first plasma region is formed between the gas injection part 30 and the substrate support part 20, and the A second activation region, that is, a second plasma region may be formed between the first electrode 38 and the second electrode 36 .
  • the second gas when the second gas is injected through the separation space between the first electrode 38 and the second electrode 36, the second gas is applied to the first electrode ( 38) and the second electrode 36, that is, from the second plasma region to the first plasma region. Therefore, in the deposition apparatus according to the embodiment of the present invention, the second gas may be activated inside the gas dispensing unit 30 and sprayed onto the substrate.
  • the first gas supply path for supplying the first gas and the second gas supply path for supplying the second gas are formed separately, for example, the source gas and the reaction gas are optimally supplied for depositing a thin film. It can be sprayed by distributing it along the route.
  • the thin film deposition method of the present invention will be described in detail with reference to FIGS. 2 and 3 .
  • a description overlapping with that of the aforementioned deposition apparatus will be omitted.
  • FIG. 2 is a diagram schematically showing a thin film deposition method according to an embodiment of the present invention
  • FIG. 3 is a diagram for explaining a process cycle of forming a gate insulating film according to an embodiment of the present invention.
  • the thin film deposition method includes the step of preparing a silicon carbide substrate having a plurality of semiconductor regions (S100), and on the silicon carbide substrate, a temperature of 100 to 400 ° C. Forming a gate insulating film by an atomic layer deposition process at a temperature (S200).
  • a silicon carbide substrate containing silicon carbide (SiC) as a main component is carried into the chamber 10 of the above-described deposition apparatus and placed on the substrate support 20 .
  • a silicon carbide substrate a plurality of semiconductor regions may be formed. That is, the silicon carbide substrate may include a silicon carbide single crystal wafer, and a plurality of semiconductor regions may be formed in the silicon carbide substrate by implanting a dopant into the silicon carbide single crystal wafer.
  • the plurality of semiconductor regions may include a source region, a drain region, and a well region, and power manufactured using a silicon carbide substrate including a source region, a drain region, and a well region. The semiconductor device will be described later with reference to FIG. 5 .
  • a gate insulating film on the silicon carbide substrate (S200) is performed.
  • the step of forming the gate insulating film (S200) is performed after the step of preparing the silicon carbide substrate (S100), and between the step of preparing the silicon carbide substrate (S100) and the step of forming the gate insulating film (S200)
  • steps added to manufacture a display device or a power semiconductor device may be performed.
  • a silicon carbide substrate on which a gate electrode has already been formed may be prepared, but between the step of preparing a silicon carbide substrate (S100) and the step of forming a gate insulating film (S200), It goes without saying that, for example, a step of forming a gate electrode on a silicon carbide substrate may be further performed.
  • the thin film deposition method according to an embodiment of the present invention may further include surface treating the silicon carbide substrate with plasma before forming the gate insulating layer on the silicon carbide substrate.
  • the surface treatment of the silicon carbide substrate with plasma may be performed to remove a natural oxide film formed on the silicon carbide substrate in the step of preparing the silicon carbide substrate (S100).
  • a surface treatment gas is sprayed onto the silicon carbide substrate through at least one of the first gas supply path and the second gas supply path of the deposition apparatus described above, and the surface treatment gas It is possible to apply the RF power source 50 to the process space so that plasma is generated by activating the .
  • the surface treatment gas at least one of nitrous oxide (N 2 O), nitrogen monoxide (NO), nitrogen (N 2 ), hydrogen (H 2 ), oxygen (O 2 ), and argon gas may be used.
  • the natural oxide film formed on the surface of the silicon carbide substrate including the deposition surface for depositing the gate insulating film can be removed.
  • a gate insulating film is formed on a silicon carbide substrate by an atomic layer deposition (ALD) process at a temperature of 100 to 400 °C.
  • ALD atomic layer deposition
  • a gate insulating film is formed on a silicon carbide substrate through a thermal deposition process at a high temperature of about 1200° C. or higher.
  • damage may occur to the silicon carbide substrate and the thin film already formed on the silicon carbide substrate, which may cause damage to the display device or power semiconductor device to be manufactured. It causes a problem that greatly deteriorates quality and reliability.
  • a gate insulating film is formed on a silicon carbide substrate by an atomic layer deposition (ALD) process at a low temperature of 100 to 400 °C.
  • ALD atomic layer deposition
  • the step of forming the gate insulating film (S200) is performed by performing a plurality of cycles of sequentially performing the supplying source gas on the hydrocarbon substrate (S210) and the supplying reaction gas on the hydrocarbon substrate (S230).
  • the source gas supplies the source gas onto the hydrocarbon substrate.
  • the source gas is supplied onto the hydrocarbon substrate through the first gas supply path of the deposition apparatus.
  • the source gas may be a gas containing at least one of various source materials for forming the gate insulating layer.
  • a silicon oxide (SiO 2 ) layer or a silicon nitride (SiN) layer is formed as a gate insulating film
  • a gas containing a silicon (Si) component may be used as a source gas
  • a high-K (high-K) layer may be used as a gate insulating film.
  • the source gas is hafnium (Hf), lanthanum (La), zirconium (Zr), tantalum (Ta), titanium (Ti), barium (Ba), strontium (Sr), and iridium (Ir). It may be a gas containing at least one.
  • the source gas is injected and adsorbed on the hydrocarbon substrate. At this time, supplying source gas (S210) may be performed without applying power.
  • the gate insulating layer may include a high-K dielectric layer. That is, the gate insulating film is formed of a high-K dielectric layer, or is provided on at least one of the upper and lower portions of the high-K dielectric layer as well as the high-K dielectric layer. A silicon (SiO 2 ) layer or a silicon nitride (SiN) layer may be further included.
  • a silicon oxide (SiO 2 ) layer is provided on a silicon carbide substrate, a high-K dielectric layer is provided on the silicon oxide (SiO 2 ) layer, and a high-K (high-K) dielectric layer is provided.
  • a silicon oxide (SiO 2 ) layer may be formed on the dielectric layer again.
  • the gate insulating film is formed with such a multilayer structure, the active layer of the thin film transistor or power semiconductor device is prevented from being damaged due to the high-K material forming the high-K dielectric layer. You can protect against it.
  • the silicon oxide (SiO 2 ) layer provided on the top and bottom of the high-K dielectric layer may be replaced with a silicon nitride (SiN) layer.
  • purging the source gas may be performed.
  • the raw material gas remaining in the process space of the chamber 10 may be removed.
  • the step of purging the raw material gas may be performed by supplying an inert gas, for example, argon (Ar) gas to the process space, and the argon (Ar) gas is at least one of the first gas supply path and the second gas supply path. It can be supplied through the path of At this time, the RF power source 50 may not be applied while purging the source gas.
  • an inert gas for example, argon (Ar) gas
  • pre-treating the hydrocarbon substrate with plasma may be performed.
  • a pretreatment gas containing hydrogen for example, hydrogen (H 2 ) gas is supplied to the substrate, and an RF power source 50 is applied to generate hydrogen plasma on the hydrocarbon substrate.
  • the hydrogen (H 2 ) gas may be supplied through at least one of the first gas supply path and the second gas supply path, and in this way, after the raw material is adsorbed on the hydrocarbon substrate, the pretreatment containing hydrogen
  • the step of activating and supplying the gas (S230) is performed, impurities included in the raw material adsorbed to the hydrocarbon substrate can be removed by the hydrogen plasma, and the raw material can be more firmly adsorbed to the hydrocarbon substrate.
  • supplying a reactive gas (S230) is performed.
  • a reactive gas containing, for example, oxygen is supplied onto a hydrocarbon substrate.
  • the reaction gas containing oxygen is supplied to the substrate through the second gas supply path of the deposition apparatus.
  • the RF power source 50 may be applied to the process space to generate plasma by activating the reactive gas in order to effectively react the oxygen component included in the reactive gas with the raw material.
  • the oxygen-containing gas supplied by activating and supplying the reactive gas is activated with oxygen radicals to react with the raw material, and a gate insulating film is formed on the substrate at a lower process temperature. You can do it. That is, when the reactant gas is activated and supplied to the substrate, the step of forming the gate insulating film (S200) may be performed by controlling the process space of the chamber 10 to a low temperature of 100° C. or more and 400° C. or less.
  • a step of purging the reaction gas may be performed.
  • the reaction gas remaining in the process space of the chamber 10 may be removed.
  • Purging the reaction gas may be performed by supplying an inert gas, for example, argon (Ar) gas to the process space, similar to the step of purging the source gas. It may be supplied through at least one of the second gas supply paths.
  • post-processing the hydrocarbon substrate with plasma may be performed.
  • a post-processing gas containing hydrogen for example, hydrogen (H 2 ) gas is supplied to the hydrocarbon substrate, and an RF power source 50 is applied to hydrogen on the substrate.
  • plasma can be generated.
  • the hydrogen (H 2 ) gas may be supplied through at least one of a first gas supply path and a second gas supply path.
  • the gate insulating film in particular, the gate insulating film formed of a high-K dielectric layer is formed inside the chamber 10 or It can be easily formed even when the temperature of the silicon carbide substrate is low. That is, when the temperature of the inside of the chamber 10 or the silicon carbide substrate is low, for example, a gate insulating layer formed of a high-K dielectric layer may be formed at a low temperature of 100° C. to 400° C.
  • impurities remaining in the chamber 10 or impurities included in the gate insulating film can be effectively removed by activating and supplying post-processing gas containing hydrogen on the hydrocarbon substrate (S240). .
  • the process cycle may be performed multiple times. More specifically, supplying a source gas (S210), purging the source gas, pretreating a hydrocarbon substrate with plasma (S220), supplying a reaction gas (S230), purging the reaction gas And post-processing the hydrocarbon substrate with plasma (S240) may form one process cycle, and the process cycle may be repeatedly performed until a gate insulating film having a desired thickness is formed on the substrate.
  • FIG. 4 is a diagram showing an example of a thin film transistor manufactured according to an embodiment of the present invention.
  • the thin film transistor manufactured according to an embodiment of the present invention includes a gate electrode 200a, a source electrode 510a disposed above or below the gate electrode 200a and spaced apart from each other in a horizontal direction, and Drain electrode 520a, active layer 400a disposed between the gate electrode 200a, source electrode 510a and drain electrode 520a, and disposed between the gate electrode 200a and the active layer 400a
  • a gate insulating layer 300a is included.
  • the thin film transistor includes a gate electrode 200a formed on a silicon carbide substrate 100a and a gate insulating film 300a formed on the gate electrode 200a. ), a bottom gate type including an active layer 400a formed on the gate insulating film 300a, and a source electrode 510a and a drain electrode 520a formed spaced apart from each other on the active layer 400a. It may be a thin film transistor, but, of course, the same may be applied to a top gate type thin film transistor in which the gate electrode 200a is disposed thereon.
  • the silicon carbide substrate 100a may include a substrate containing silicon carbide (SiC) as a main component.
  • the substrate may include a silicon carbide single crystal wafer.
  • the gate electrode 200a may be formed using a conductive material, for example, aluminum (Al), neodymium (Nd), silver (Ag), chromium (Cr), titanium (Ti), tantalum (Ta), or molybdenum. (Mo) and copper (Cu) can be formed of at least any one of metals or alloys containing them.
  • the gate electrode 200a may be formed not only as a single layer but also as a multiple layer including a plurality of metal layers.
  • metal layers such as chromium (Cr), titanium (Ti), tantalum (Ta), and molybdenum (Mo) with excellent physical and chemical properties and aluminum (Al), silver (Ag), or copper (Cu) series with low resistivity It can also be formed as a double layer including a metal layer of.
  • a gate insulating layer 300a is formed on the gate electrode 200a. That is, the gate insulating layer 300a may be formed on the silicon carbide substrate 100a including the top and side portions of the gate electrode 200a.
  • the gate insulating film 300a may be formed of a thin film using silicon oxide (SiO 2 ), which has excellent adhesion to metal materials and excellent dielectric breakdown voltage, but has a higher permittivity than silicon oxide (SiO 2 ).
  • K can be formed of a dielectric. That is, the gate insulating layer 300a may include at least one high-K dielectric layer.
  • the high-K (high-K) dielectric is hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), lanthanum oxide (LaO 2 ), lanthanum aluminum oxide (LaAlO 3 ), zirconium oxide (ZrO 2 ), zirconium Silicon oxide (ZrSiO 4 ), tantalum oxide (Ta 2 O 5 ), titanium oxide (TiO 2 ), barium strontium titanium oxide (BaSrTiO 3 ), barium titanium oxide (BaTiO 3 ), strontium titanium oxide (SrTiO 3 ), iridium oxide (IrO 2 ) It may include at least one material.
  • the gate insulating film 300a is prepared by preparing a silicon carbide substrate (S100) and forming a gate insulating film on the silicon carbide substrate by an atomic layer deposition process at a temperature of 100 to 400 ° C (S200). It may be formed by a thin film deposition method according to an embodiment of the present invention including. That is, the gate insulating film 300a is formed by supplying source gas (S210), pre-treating the hydrocarbon substrate with plasma (S220), supplying reaction gas (S230), and post-processing the hydrocarbon substrate with plasma ( It may be formed by a thin film deposition method in which a process cycle including S240) is performed multiple times.
  • S210 source gas
  • S220 pre-treating the hydrocarbon substrate with plasma
  • S230 supplying reaction gas
  • post-processing the hydrocarbon substrate with plasma It may be formed by a thin film deposition method in which a process cycle including S240) is performed multiple times.
  • the active layer 400a is formed on the gate insulating layer 300a, and at least partially overlaps the gate electrode 200a.
  • the active layer 400a may be formed of, for example, a metal oxide thin film, and may be formed of a single metal oxide thin film or a plurality of metal oxide thin films.
  • a metal oxide thin film may include zinc oxide (ZnO) or a material in which at least one of indium (In) and gallium (Ga) is doped on zinc oxide (ZnO).
  • the source electrode 510a and the drain electrode 520a are formed on the active layer 400a and partially overlap the gate electrode 200a to form the source electrode 510a and the drain electrode 520a with the gate electrode 200a interposed therebetween. These may be formed spaced apart from each other.
  • the source electrode 510a and the drain electrode 520a may be formed by the same process using the same material, and may be formed using a conductive material, for example, aluminum (Al), neodymium (Nd), silver (Ag), chromium (Cr), titanium (Ti), tantalum (Ta) and molybdenum (Mo) can be formed of at least one metal or an alloy containing these.
  • the source electrode 510a and the drain electrode 520a may be formed of not only a single layer but also multiple layers of a plurality of metal layers.
  • FIG. 5 is a diagram illustrating an example of a power semiconductor device manufactured according to an embodiment of the present invention.
  • a power semiconductor device manufactured according to an embodiment of the present invention for example, a field effect transistor (FET) is formed on a silicon carbide substrate 100b and a silicon carbide substrate 100b.
  • FET field effect transistor
  • the gate insulating film 300b, the source electrode 510b and the drain electrode 520b, and the source electrode 510b and the drain electrode 520b provided to be spaced apart in a horizontal direction on the silicon carbide substrate 100b with the gate insulating film 300b interposed therebetween.
  • a gate electrode 200b provided on the gate insulating film 300b between them.
  • the silicon carbide substrate 100b may include a substrate in which dopants are implanted to form a plurality of semiconductor regions, and the plurality of semiconductor regions include a source region 110b functioning as a source of a field effect transistor and a field effect transistor. It may include a drain region 120b functioning as a drain of and a well region 130b functioning as an active layer of a field effect transistor.
  • the gate insulating layer 300b may include at least one high-K dielectric layer, and the high-K dielectric layer may include hafnium oxide (HfO 2 ) and hafnium silicon oxide (HfSiO 4 ).
  • the high-K dielectric layer may include hafnium oxide (HfO 2 ) and hafnium silicon oxide (HfSiO 4 ).
  • a gate insulating film may be formed on a silicon carbide substrate using a low-temperature process.
  • a display device or a power semiconductor device having a high breakdown voltage and excellent heat dissipation can be manufactured.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 박막 증착 방법에 관한 것으로, 보다 상세하게는 탄화규소 기판 상에 게이트 절연막을 형성하기 위한 박막 증착 방법에 관한 것이다. 본 발명의 실시 예에 따른 박막 증착 방법은 복수의 반도체 영역을 가지는 탄화규소 기판을 마련하는 단계; 및 상기 탄화규소 기판 상에, 100 내지 400℃의 온도에서 원자층 증착 공정으로 게이트 절연막을 형성하는 단계;를 포함한다.

Description

박막 증착 방법
본 발명은 박막 증착 방법에 관한 것으로, 보다 상세하게는 탄화규소 기판 상에 게이트 절연막을 형성하기 위한 박막 증착 방법에 관한 것이다.
탄화규소(SiC; silicon carbide)는 일반적인 규소에 비해 밴드 갭(band gap)이 높은 반도체로서, 규소보다 높은 항복 전압을 가지면서도 손실은 적고 열 방출은 우수한 특성을 나타낸다. 특히, 절연 파괴 전계가 규소에 비해 약 10배 정도 우수하므로, 규소를 이용한 반도체 소자에 비하여 전압 강하를 약 200분의 1로 감소시킬 수 있는 큰 장점이 있다. 이에, 탄화규소는 디스플레이 장치 또는 전력 반도체 소자 분야에서 규소를 대체할 수 있는 유력한 반도체 재료로 간주되고 있다.
트랜지스터(Transistor)는 디스플레이 장치나 반도체 소자에서 스위칭 회로로 사용된다. 이러한, 트랜지스터는 소스(source)와 드레인(drain) 사이의 전류를 차단하기 위한 게이트 절연막을 가진다.
종래에는, 이와 같은 박막 트랜지스터를 제조함에 있어서 탄화규소 기판 상에 형성되는 게이트 절연막을 약 1200℃의 고온에서 증착하였다.
그러나, 이와 같이 탄화규소 기판을 고온으로 가열한 상태에서 게이트 절연막을 형성하게 되면 기판 또는 상기 기판 상에 형성되는 박막에 손상이 발생하는 문제가 발생한다. 이는 디스플레이 장치 또는 전력 반도체 소자의 트랜지스터의 기능을 저하시키거나 불량을 야기시키는 요인으로 작용하며, 특히 트랜지스터를 스위칭 회로로 사용하는 디스플레이 장치나 전력 반도체 소자의 품질 및 신뢰성을 크게 저하시키는 문제점이 있었다.
(선행기술문헌)
한국공개특허 제10-2009-0055368호
본 발명은 저온에서 탄화규소 기판 상에 게이트 절연막을 형성할 수 있는 박막 증착 방법을 제공한다.
본 발명의 실시 예에 따른 박막 증착 방법은, 복수의 반도체 영역을 가지는 탄화규소 기판을 마련하는 단계; 및 상기 탄화규소 기판 상에, 100 내지 400℃의 온도에서 원자층 증착 공정으로 게이트 절연막을 형성하는 단계;를 포함한다.
상기 게이트 절연막을 형성하는 단계 이전에, 상기 탄화규소 기판을 플라즈마로 표면 처리하는 단계;를 더 포함할 수 있다.
상기 게이트 절연막을 형성하는 단계는, 상기 탄화수소 기판 상에 원료 가스를 공급하는 단계; 상기 탄화수소 기판을 플라즈마로 전처리하는 단계; 상기 탄화수소 기판 상에 반응 가스를 공급하는 단계; 및 상기 탄화수소 기판을 플라즈마로 후처리하는 단계;를 포함하고, 상기 원료 가스를 공급하는 단계, 전처리하는 단계, 반응 가스를 공급하는 단계 및 후처리하는 단계를 포함하는 공정 사이클은 복수 회로 수행될 수 있다.
상기 전처리하는 단계 및 후처리하는 단계는, 상기 탄화수소 기판 상에 수소 가스를 분사하는 단계; 및 상기 수소 가스를 방전시켜, 상기 탄화수소 기판 상에 플라즈마를 발생시키는 단계;를 포함할 수 있다.
상기 게이트 절연막은 하이-K(high-K) 유전체층을 포함할 수 있다.
상기 게이트 절연막은 상기 하이-K(high-K) 유전체층의 상부 및 하부 중 적어도 하나에 마련되는 산화규소층 또는 질화규소층을 더 포함할 수 있다.
상기 탄화수소 기판을 마련하는 단계는, 소스 영역, 웰 영역 및 드레인 영역을 가지는 탄화규소 기판을 마련하고, 상기 게이트 절연막을 형성하는 단계는, 상기 웰 영역 상에 게이트 절연막을 형성할 수 있다.
본 발명의 실시 예에 따르면, 저온 공정으로 탄화규소 기판 상에 게이트 절연막을 형성할 수 있다. 또한, 게이트 절연막을 형성하기 위하여 기판을 승온시키는 시간을 절약할 수 있으며, 이에 의하여 디스플레이 장치 또는 전력 반도체 소자의 제조 시간을 단축시킬 수 있다.
또한, 본 발명의 실시 예에 따르면 높은 항복 전압을 가지면서도 열 방출이 우수한 디스플레이 장치 또는 전력 반도체 소자를 제조할 수 있다.
도 1은 본 발명의 실시 예에 따른 증착 장치를 개략적으로 나타내는 도면.
도 2는 본 발명의 실시 예에 따른 박막 증착 방법을 개략적으로 나타내는 도면.
도 3은 본 발명의 실시 예에 따라 게이트 절연막을 형성하는 공정 사이클을 설명하기 위한 도면.
도 4는 본 발명의 실시 예에 따라 제조되는 박막 트랜지스터의 일 예를 나타내는 도면.
도 5는 본 발명의 실시 예에 따라 제조되는 전력 반도체 소자의 일 예를 나타내는 도면.
이하, 첨부된 도면을 참조하여 본 발명의 실시 예들을 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시 예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 발명의 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.
명세서 전체에 걸쳐서 층, 막, 영역 또는 기판 등과 같은 하나의 구성요소가 다른 구성요소 "상에" 위치한다고 언급할 때는, 상기 하나의 구성요소가 직접적으로 다른 구성요소 "상에" 접촉하거나, 그 사이에 개재되는 또 다른 구성요소들이 존재할 수 있다고 해석될 수 있다.
또한, "상부" 또는 "하부"와 같은 상대적인 용어들은 도면들에서 도시되는 것처럼 다른 요소들에 대한 어떤 요소들의 상대적인 관계를 기술하기 위해 여기에서 사용될 수 있다. 상대적 용어들은 도면들에서 묘사되는 방향에 추가하여 소자의 다른 방향들을 포함하는 것을 의도한다고 이해될 수 있다. 발명을 상세하게 설명하기 위해 도면은 과장되어 도시될 수 있으며, 도면상에서 동일 부호는 동일한 요소를 지칭한다.
도 1은 본 발명의 실시 예에 따른 증착 장치를 개략적으로 나타내는 도면이다.
도 1을 참조하면, 본 발명의 실시 예에 따른 증착 장치는 탄화규소 기판 상에 박막, 즉 게이트 절연막을 증착하기 위한 장치로서, 챔버(10), 상기 챔버(10) 내에 마련되며, 상기 챔버(10) 내에 제공되는 기판을 지지하기 위한 기판 지지부(20), 상기 기판 지지부(20)에 대향 배치되도록 상기 챔버(10) 내에 마련되며, 상기 기판 지지부(20)를 향하여 공정 가스를 분사하기 위한 가스 분사부(30) 및 상기 챔버(10) 내에 플라즈마를 발생시키도록 전원을 인가하는 RF 전원(50)을 포함한다. 또한, 상기 증착 장치는 가스 분사부(30)에 가스를 제공하기 위한 가스 공급부(40)를 더 포함할 수 있으며, 이외에도 상기 RF 전원(50)을 제어하는 제어부(미도시)를 더 포함할 수도 있다. 여기서, 가스 분사부(30)에는 제1 가스, 예를 들어 원료 가스를 공급하기 위한 제1 가스 공급 경로와 제2 가스, 예를 들어 반응 가스를 공급하기 위한 제2 가스 공급 경로가 분리되어 형성된다.
챔버(10)는 소정의 공정 공간을 마련하고, 이를 기밀하게 유지시킨다. 챔버(10)는 대략 원형 또는 사각형의 평면부 및 평면부로부터 상향 연장된 측벽부를 포함하여 소정의 공정 공간을 가지는 몸체(12)와, 대략 원형 또는 사각형으로 몸체(12) 상에 위치하여 챔버(10)를 기밀하게 유지하는 덮개(14)를 포함할 수 있다. 그러나, 챔버(10)는 이에 한정되지 않고 기판의 형상에 대응하는 다양한 형상으로 제작될 수 있다.
챔버(10)의 하면의 소정 영역에는 배기구(미도시)가 형성되고, 챔버(10)의 외측에는 배기구와 연결되는 배기관(미도시)이 마련될 수 있다. 또한, 배기관은 배기 장치(미도시)와 연결될 수 있다. 배기 장치로는 터보 분자 펌프 등의 진공 펌프가 이용될 수 있다. 따라서, 배기 장치에 의해 챔버(10) 내부를 소정의 감압 분위기, 예를 들어 0.1mTorr 이하의 소정의 압력까지 진공 흡입할 수 있다. 배기관은 챔버(10)의 하면 뿐만 아니라 후술하는 기판 지지부(20) 하측의 챔버(10) 측면에 설치될 수도 있다. 또한, 배기되는 시간을 줄이기 위해 다수 개의 배기관 및 그에 따른 배기 장치가 더 설치될 수도 있음은 물론이다.
한편, 기판 지지부(20)에는 박막 형성 공정을 위하여 챔버(10) 내로 제공된 기판이 안착될 수 있다. 여기서, 기판은 탄화규소(SiC)를 주성분으로 함유하는 탄화규소 기판을 포함할 수 있다. 또한, 기판은 탄화규소 단결정 웨이퍼를 포함할 수 있으며, 탄화규소 단결정 웨이퍼 내부에 도펀트가 주입되어 웨이퍼 내에 복수의 반도체 영역이 형성된 탄화규소 단결정 웨이퍼를 포함할 수도 있다. 이때, 복수의 반도체 영역은 소스(source) 영역, 드레인(drain) 영역 및 웰(well) 영역을 포함할 수 있다. 기판 지지부(20)는 이와 같은 기판이 안착되어 지지될 수 있도록, 예를 들어 정전척 등이 마련되어 기판을 정전력에 의해 흡착 유지할 수도 있고, 진공 흡착이나 기계적 힘에 의해 기판을 지지할 수도 있다.
기판 지지부(20)는 기판 형상과 대응되는 형상, 예를 들어 원형 또는 사각형으로 마련될 수 있다. 기판 지지부(20)는 기판이 안착되는 기판 지지대 및 상기 기판 지지대 하부에 배치되어 기판 지지대를 승하강 이동시키는 승강기(22)를 포함할 수 있다. 여기서, 기판 지지대는 기판보다 크게 제작될 수 있으며, 승강기(22)는 기판 지지대의 적어도 일 영역, 예를 들어 중심부를 지지하도록 마련되고, 기판 지지대 상에 기판이 안착되면 기판 지지대를 가스 분사부(30)에 근접하도록 이동시킬 수 있다. 또한, 기판 지지대 내부에는 히터(미도시)가 설치될 수 있다. 히터는 소정 온도로 발열하여 기판 지지대 및 상기 기판 지지대에 안착된 기판을 가열하여, 기판에 균일하게 박막이 증착되도록 한다.
가스 공급부(40)는 챔버(10)의 덮개(14)를 관통하도록 설치될 수 있으며, 제1 가스 및 제2 가스를 각각 상기 가스 분사부(30)에 제공하기 위하여 제1 가스 공급기(42) 및 제2 가스 공급기(44)를 포함할 수 있다. 여기서, 상기 제1 가스는 게이트 절연막을 형성하기 위한 원료 가스를 포함할 수 있으며, 제2 가스는 반응 가스를 포함할 수 있다. 그러나, 제1 가스 공급기(42) 및 제2 가스 공급기(44)는 각각 반드시 하나의 가스를 제공하는 것은 아니며, 제1 가스 공급기(42) 및 제2 가스 공급기(44)는 각각 복수의 가스를 동시에 공급하거나, 복수의 가스 중 선택된 가스를 공급하도록 구성될 수 있다.
예를 들어, 제1 가스 공급기(42)는 원료 가스로서 규소(Si) 성분을 함유하는 가스를 공급하거나, 하프늄(Hf), 란타늄(La), 지르코늄(Zr), 탄탈륨(Ta), 티타늄(Ti), 바륨(Ba), 스트론튬(Sr) 및 이리듐(Ir) 중 적어도 하나를 포함하는 가스를 공급할 수 있다. 또한, 제2 가스 공급기(44)는 반응 가스로서 산소(O) 또는 질소(N)를 함유한 가스를 공급할 수 있다.
가스 분사부(30)는 상기 챔버(10) 내부, 예를 들어 챔버 리드(12)의 하면에 설치되며, 가스 분사부(30)의 내부에는 제1 가스를 기판 상에 분사하여 공급하기 위한 제1 가스 공급 경로와 제2 가스를 기판 상에 분사하여 공급하기 위한 제2 가스 공급 경로가 형성된다. 상기 제1 가스 공급 경로 및 제2 가스 공급 경로는 서로 독립적이고 분리되도록 형성되어, 상기 제1 가스 및 상기 제2 가스가 가스 분사부(30) 내에서 혼합되지 않도록 분리하여 기판 상에 공급할 수 있다.
상기 가스 분사부(30)는 상부 프레임(32) 및 하부 프레임(34)을 포함할 수 있다. 여기서, 상기 상부 프레임(32)은 상기 챔버 리드(12)의 하면에 착탈 가능하게 결함됨과 동시에 상면의 일부, 예를 들어 상면의 중심부가 상기 챔버 리드(12)의 하면으로부터 소정 거리로 이격된다. 이에 따라 상기 상부 프레임(32)의 상면과 상기 챔버 리드(12)의 하면 사이의 공간에서 제1 가스 공급부(42)로부터 제1 가스가 확산될 수 있다. 또한, 상기 하부 프레임(34)은 상기 상부 프레임(32)의 하면에 일정 간격 이격되어 설치된다. 이에 따라 상기 하부 프레임(34)의 상면과 상기 상부 프레임(32)의 하면 사이의 공간에서 제2 가스 공급부(44)로부터 제공되는 제2 가스가 확산될 수 있다. 상기 상부 프레임(32)과 상기 하부 프레임(34)은 외주면을 따라 연결되어 내부에 이격 공간을 형성하여 일체로 형성될 수 있으며, 별도의 밀봉 부재에 의하여 외주면을 밀폐하는 구조로 이루어질 수도 있음은 물론이다.
상기 제1 가스 공급 경로는 제1 가스 공급부(42)로부터 제공되는 제1 가스가 상기 챔버 리드(12)의 하면과 상기 상부 프레임(32) 사이의 공간에서 확산되어, 상기 상부 프레임(32) 및 상기 하부 프레임(34)을 관통하여 챔버(10) 내부로 공급되도록 형성될 수 있다. 또한, 상기 제2 가스 공급 경로는 제2 가스 공급부(44)로부터 제공되는 제2 가스가 상기 상부 프레임(32)의 하면과 상기 하부 프레임(34)의 상면 사이의 공간에서 확산되어 상기 하부 프레임(34)을 관통하여 챔버(10) 내부로 공급되도록 형성될 수 있다. 상기 제1 가스 공급 경로 및 상기 제2 가스 공급 경로는 상호 연통되지 않을 수 있으며, 이에 의하여 상기 제1 가스 및 제2 가스는 상기 가스 공급부(40)로부터 가스 분사부(30)를 거쳐 상기 챔버(10) 내부에 분리하여 공급될 수 있다.
상기 하부 프레임(34)의 하면에는 제1 전극(38)이 설치될 수 있으며, 상기 하부 프레임(24)의 하측 및 제1 전극(28)의 외측으로는 소정 간격 이격되어 제2 전극(36)이 설치될 수 있다. 이때, 하부 프레임(34)과 제2 전극(36)은 외주면을 따라 연결되어 형성될 수 있으며, 별도의 밀봉 부재에 의하여 외주면을 밀폐하는 구조로 이루어질 수도 있음은 물론이다.
이와 같이, 제1 전극(38) 및 제2 전극(36)이 설치되는 경우, 제1 가스는 제1 전극(38)을 관통하여 기판 상에 분사될 수 있으며, 제2 가스는 제1 전극(38)과 제2 전극(36) 사이의 이격 공간을 통하여 기판 상에 분사될 수 있다.
하부 프레임(34)와 제2 전극(36) 중 어느 하나에는 RF 전원(50)으로부터 RF 전력이 인가될 수 있다. 도 1에서는 하부 프레임(34)가 접지되고, 제2 전극(36)에 RF 전력이 인가되는 구조를 예로 들어 도시하였다. 하부 프레임(34)이 접지되는 경우, 상기 하부 프레임(34)의 하면에 설치된 제1 전극(38) 또한 접지된다. 따라서, 제2 전극(36)에 RF 전원(50)이 인가되는 경우 상기 가스 분사부(30)와 상기 기판 지지부(20) 사이에는 제1 활성화 영역, 즉 제1 플라즈마 영역이 형성되고, 상기 제1 전극(38)과 상기 제2 전극(36) 사이에는 제2 활성화 영역, 즉 제2 플라즈마 영역이 형성될 수 있다.
따라서, 제2 가스가 제1 전극(38) 및 제2 전극(36) 사이의 이격 공간을 통하여 분사되는 경우, 상기 제2 가스는 가스 분사부(30)의 내부에 해당하는 상기 제1 전극(38)과 상기 제2 전극(36) 사이, 즉 제2 플라즈마 영역에서부터 제1 플라즈마 영역까지의 영역에 걸쳐 활성화된다. 따라서, 본 발명의 실시 예에 따른 증착 장치에서는 제2 가스를 가스 분사부(30)의 내부에서 활성화시켜 기판 상에 분사할 수 있다. 또한, 제1 가스를 공급하기 위한 제1 가스 공급 경로와 제2 가스를 공급하기 위한 제2 가스 공급 경로가 분리 형성됨으로 인하여, 예를 들어 원료 가스 및 반응 가스를 박막을 증착하기 위한 최적의 공급 경로로 분배시켜 분사할 수 있다.
이하에서, 도 2 및 도 3을 참조하여 본 발명의 박막 증착 방법을 상세하게 설명하기로 한다. 본 발명의 실시 예에 따른 박막 증착 방법의 설명에 있어서, 전술한 증착 장치에 관한 설명과 중복되는 설명은 생략하기로 한다.
도 2는 본 발명의 실시 예에 따른 박막 증착 방법을 개략적으로 나타내는 도면이고, 도 3은 본 발명의 실시 예에 따라 게이트 절연막을 형성하는 공정 사이클을 설명하기 위한 도면이다.
도 2 및 도 3을 참조하면, 본 발명의 실시 예에 따른 박막 증착 방법은, 복수의 반도체 영역을 가지는 탄화규소 기판을 마련하는 단계(S100) 및 상기 탄화규소 기판 상에, 100 내지 400℃의 온도에서 원자층 증착 공정으로 게이트 절연막을 형성하는 단계(S200)를 포함한다.
탄화규소 기판을 마련하는 단계(S100)은 탄화규소(SiC)를 주성분으로 함유하는 탄화규소 기판을 전술한 증착 장치의 챔버(10) 내로 반입하여 기판 지지부(20) 상에 안착시킨다. 이와 같은 탄화규소 기판은 복수의 반도체 영역이 형성될 수 있다. 즉, 탄화규소 기판은 탄화규소 단결정 웨이퍼를 포함할 수 있으며, 탄화규소 단결정 웨이퍼 내부에 도펀트가 주입되어 탄화규소 기판 내에 복수의 반도체 영역이 형성될 수 있다. 여기서, 복수의 반도체 영역은 소스(source) 영역, 드레인(drain) 영역 및 웰(well) 영역을 포함할 수 있으며, 소스 영역, 드레인 영역 및 웰 영역을 포함하는 탄화규소 기판을 사용하여 제조된 전력 반도체 소자와 관련하여는 도 5를 참조하여 후술하기로 한다.
탄화규소 기판을 마련하는 단계(S100) 이후에는, 상기 탄화규소 기판 상에 게이트 절연막을 형성하는 단계(S200)이 수행된다. 여기서, 게이트 절연막을 형성하는 단계(S200)는 탄화규소 기판을 마련하는 단계(S100) 이후에 수행되는 것으로, 탄화규소 기판을 마련하는 단계(S100)와 게이트 절연막을 형성하는 단계(S200) 사이에는 디스플레이 장치 또는 전력 반도체 소자 등을 제조하기 위하여 부가되는 다른 단계가 수행될 수 있음은 물론이다. 즉, 탄화규소 기판을 마련하는 단계(S100)에서 게이트 전극이 이미 형성된 탄화규소 기판을 마련할 수도 있으나, 탄화규소 기판을 마련하는 단계(S100)와 게이트 절연막을 형성하는 단계(S200) 사이에, 예를 들어 탄화규소 기판 상에 게이트 전극을 형성하는 단계가 더 수행될 수 있음은 물론이다.
여기서, 본 발명의 실시 예에 따른 박막 증착 방법은, 탄화규소 기판 상에 게이트 절연막을 형성하기 전에 탄화규소 기판을 플라즈마로 표면 처리하는 단계를 더 포함할 수 있다.
탄화규소 기판을 플라즈마로 표면 처리하는 단계는, 탄화규소 기판을 마련하는 단계(S100)에서 탄화규소 기판에 형성된 자연 산화막을 제거하기 위하여 수행될 수 있다.
탄화규소 기판을 플라즈마로 표면 처리하는 단계에서는, 전술한 증착 장치의 제1 가스 공급 경로 및 제2 가스 공급 경로 중 적어도 하나의 경로를 통해 탄화규소 기판 상에 표면 처리 가스를 분사하고, 표면 처리 가스를 활성화시켜 플라즈마가 발생되도록 공정 공간에 RF 전원(50)을 인가할 수 있다. 여기서, 표면 처리 가스로는 아산화질소(N2O), 일산화질소(NO), 질소(N2), 수소(H2), 산소(O2) 및 아르곤 가스 중 적어도 하나의 가스를 사용할 수 있다. 이와 같이, 탄화규소 기판을 플라즈마로 표면 처리함으로써, 탄화규소 기판 상에 게이트 절연막을 형성하기 전에, 게이트 절연막을 증착하기 위한 증착 면을 포함하는 탄화규소 기판의 표면에 형성된 자연 산화막을 제거할 수 있다.
게이트 절연막을 형성하는 단계(S200)는 탄화규소 기판 상에, 100 내지 400℃의 온도에서 원자층 증착(ALD: Atomic Layer Deposition) 공정으로 게이트 절연막을 형성한다.
종래에는 탄화규소 기판 상에 게이트 절연막을 약 1200℃ 이상의 고온에서 열 증착(thermal deposition) 공정을 통하여 형성하였다. 그러나, 이와 같이 탄화규소 기판을 고온으로 가열한 상태에서 게이트 절연막을 형성하게 되면 탄화규소 기판 및 상기 탄화규소 기판 상에 이미 형성된 박막에 손상이 발생할 수 있으며, 이는 제조되는 디스플레이 장치 또는 전력 반도체 소자의 품질 및 신뢰성을 크게 저하시키는 문제점을 발생시킨다. 이에, 본 발명의 실시 예에서는 탄화규소 기판 상에 게이트 절연막을 100 내지 400℃의 저온에서 원자층 증착(ALD; Atomic Layer Deposition) 공정에 의하여 형성한다. 이하에서, 게이트 절연막을 형성하는 단계(S200)에 대하여 보다 상세하게 설명하기로 한다.
게이트 절연막을 형성하는 단계(S200)는 탄화수소 기판 상에 원료 가스를 공급하는 단계(S210) 및 탄화수소 기판 상에 반응 가스를 공급하는 단계(S230)를 순차적으로 수행하는 공정 사이클이 복수 회로 수행되어 이루어질 수 있다.
원료 가스를 공급하는 단계(S210)는 탄화수소 기판 상에 원료 가스를 공급한다. 여기서, 원료 가스를 공급하는 단계(S210)는 전술한 증착 장치의 제1 가스 공급 경로를 통해 탄화수소 기판 상에 원료 가스를 공급한다. 이때, 원료 가스는 게이트 절연막을 형성하기 위한 다양한 원료 물질 중 적어도 하나를 포함하는 가스일 수 있다. 예를 들어, 게이트 절연막으로 산화규소(SiO2)층 또는 질화규소(SiN)층을 형성하는 경우 원료 가스는 규소(Si) 성분을 함유하는 가스를 사용할 수 있으며, 게이트 절연막으로 하이-K(high-K) 유전체층을 형성하는 경우 원료 가스는 하프늄(Hf), 란타늄(La), 지르코늄(Zr), 탄탈륨(Ta), 티타늄(Ti), 바륨(Ba), 스트론튬(Sr) 및 이리듐(Ir) 중 적어도 하나를 포함하는 가스일 수 있다. 원료 가스를 공급하는 단계(S210)에서는 탄화수소 기판 상에 원료 가스를 분사하여 흡착시킨다. 이때, 원료 가스를 공급하는 단계(S210)는 전원을 인가하지 않고 수행될 수 있다.
이때, 게이트 절연막은 하이-K(high-K) 유전체층을 포함할 수 있다. 즉, 게이트 절연막은 하이-K(high-K) 유전체층으로 형성되거나, 하이-K(high-K) 유전체층뿐만 아니라, 하이-K(high-K) 유전체층의 상부 및 하부 중 적어도 하나에 마련되는 산화규소(SiO2)층 또는 질화규소(SiN)층을 더 포함할 수도 있다. 여기서, 게이트 절연막은 탄화규소 기판 상에 산화규소(SiO2)층이 마련되고, 산화규소(SiO2)층 상에 하이-K(high-K) 유전체층이 마련되며, 하이-K(high-K) 유전체층 상에 다시 산화규소(SiO2)층이 마련되어 형성될 수 있다. 이와 같은 적층 구조로 게이트 절연막을 형성하는 경우, 하이-K(high-K) 유전체층을 형성하는 하이-K(high-K) 물질로 인하여 박막 트랜지스터 또는 전력 반도체 소자의 활성층이 손상(damage)되는 것을 방지하도록 보호할 수 있다. 이때, 하이-K(high-K) 유전체층의 상부 및 하부에 마련되는 산화규소(SiO2)층은 적어도 일부가 질화규소(SiN)층으로 대체될 수 있음은 물론이다.
원료 가스를 공급하는 단계(210) 이후에는 원료 가스를 퍼지하는 단계가 수행될 수 있다. 원료 가스를 퍼지하는 단계에서는 챔버(10)의 공정 공간에 잔류하는 원료 가스를 제거할 수 있다. 이와 같은 원료 가스를 퍼지하는 단계는 공정 공간에 불활성 가스, 예를 들어 아르곤(Ar) 가스를 공급하여 이루어질 수 있으며, 아르곤(Ar) 가스는 제1 가스 공급 경로 및 제2 가스 공급 경로 중 적어도 하나의 경로를 통하여 공급될 수 있다. 이때, 원료 가스를 퍼지하는 중에는 RF 전원(50)이 인가되지 않을 수 있다.
원료 가스를 퍼지하는 단계 이후에는 탄화수소 기판을 플라즈마로 전처리하는 단계(S220)가 수행될 수 있다. 탄화수소 기판을 플라즈마로 전처리하는 단계(S220)에서는 수소를 함유하는 전처리 가스, 예를 들어 수소(H2) 가스를 기판 상에 공급하고, RF 전원(50)을 인가하여 탄화수소 기판 상에 수소 플라즈마를 발생시킬 수 있다. 여기서, 수소(H2) 가스는 제1 가스 공급 경로 및 제2 가스 공급 경로 중 적어도 하나의 경로를 통하여 공급될 수 있으며, 이와 같이, 원료 물질이 탄화수소 기판에 흡착된 이후에 수소를 함유하는 전처리 가스를 활성화시켜 공급하는 단계(S230)가 수행되면, 수소 플라즈마에 의해 탄화수소 기판에 흡착된 원료 물질에 포함된 불순물을 제거할 수 있으며, 원료 물질을 탄화수소 기판에 보다 견고하게 흡착시킬 수 있다.
탄화수소 기판을 플라즈마로 전처리하는 단계(S220) 이후에는 반응 가스를 공급하는 단계(S230)가 수행된다. 반응 가스를 공급하는 단계(S230)는 탄화수소 기판 상에 예를 들어, 산소를 함유하는 반응 가스를 공급한다. 여기서, 반응 가스를 공급하는 단계(S230)는 전술한 증착 장치의 제2 가스 공급 경로를 통해 기판 상에 산소를 함유하는 반응 가스를 공급한다. 원료 물질이 흡착된 기판 상에 반응 가스를 공급하게 되면, 원료 물질은 반응 가스에 포함된 반응 물질과 반응하게 된다.
이때, 반응 가스를 공급하는 단계(S230)에서는 반응 가스에 포함되는 산소 성분을 원료 물질과 효과적으로 반응시키기 위하여 반응 가스를 활성화시켜 플라즈마가 발생되도록 공정 공간에 RF 전원(50)을 인가할 수 있다. 이와 같이, 반응 가스를 공급하는 단계(S230)에서 반응 가스를 활성화시켜 공급함에 의하여 공급되는 산소 함유 가스를 산소 라디칼로 활성화시켜 원료 물질과 반응시키고, 기판 상에 게이트 절연막을 보다 낮은 공정 온도에서 형성할 수 있게 된다. 즉, 반응 가스를 활성화시켜 기판 상에 공급하는 경우, 게이트 절연막을 형성하는 단계(S200)는 챔버(10)의 공정 공간을 100℃ 이상, 400℃ 이하의 저온으로 제어하여 수행될 수 있다.
반응 가스를 공급하는 단계(S230) 이후에는 반응 가스를 퍼지하는 단계가 수행될 수 있다. 반응 가스를 퍼지하는 단계에서는 챔버(10)의 공정 공간에 잔류하는 반응 가스를 제거할 수 있다. 이와 같은 반응 가스를 퍼지하는 단계는 원료 가스를 퍼지하는 단계와 마찬가지로 공정 공간에 불활성 가스, 예를 들어 아르곤(Ar) 가스를 공급하여 이루어질 수 있으며, 아르곤(Ar) 가스는 제1 가스 공급 경로 및 제2 가스 공급 경로 중 적어도 하나의 경로를 통하여 공급될 수 있다.
반응 가스를 퍼지하는 단계 이후에는 탄화수소 기판을 플라즈마로 후처리하는 단계(S240)가 수행될 수 있다. 탄화수소 기판을 플라즈마로 후처리하는 단계(S240)에서는 수소를 함유하는 후처리 가스, 예를 들어 수소(H2) 가스를 탄화수소 기판 상에 공급하고, RF 전원(50)을 인가하여 기판 상에 수소 플라즈마를 발생시킬 수 있다. 여기서, 수소(H2) 가스는 제1 가스 공급 경로 및 제2 가스 공급 경로 중 적어도 하나의 경로를 통하여 공급될 수 있다.
원료 가스 및 반응 가스가 분사되어 탄화수소 기판 상에 게이트 절연막이 형성된 후 기판 상에 수소 플라즈마를 발생시키게 되면, 게이트 절연막 특히 하이-K(high-K) 유전체층으로 형성된 게이트 절연막을 챔버(10) 내부 또는 탄화규소 기판의 온도가 저온인 경우에도 용이하게 형성할 수 있다. 즉, 챔버(10) 내부 또는 탄화규소 기판의 온도가 저온인 경우, 예를 들어, 100℃ 내지 400℃의 저온 상태에서 하이-K(high-K) 유전체층으로 형성된 게이트 절연막을 형성할 수 있다. 뿐만 아니라, 탄화수소 기판 상에 수소를 함유하는 후처리 가스를 활성화시켜 공급하는 단계(S240)에 의하여 챔버(10) 내부에 잔류하는 불순물이나 게이트 절연막에 포함된 불순물을 효과적으로 제거할 수도 있음은 물론이다.
이와 같이, 원료 가스를 공급하는 단계(S210), 탄화수소 기판을 플라즈마로 전처리하는 단계(S220), 반응 가스를 공급하는 단계(S230) 및 탄화수소 기판을 플라즈마로 후처리하는 단계(S240)를 포함하는 공정 사이클을 복수 회로 수행될 수 있다. 보다 상세하게는, 원료 가스를 공급하는 단계(S210), 원료 가스를 퍼지하는 단계, 탄화수소 기판을 플라즈마로 전처리하는 단계(S220), 반응 가스를 공급하는 단계(S230), 반응 가스를 퍼지하는 단계 및 탄화수소 기판을 플라즈마로 후처리하는 단계(S240)는 하나의 공정 사이클을 이룰 수 있으며, 상기 공정 사이클은 기판 상에 원하는 두께의 게이트 절연막이 형성될 때까지 반복하여 수행될 수 있다.
도 4는 본 발명의 실시 예에 따라 제조되는 박막 트랜지스터의 일 예를 나타내는 도면이다.
도 4를 참조하면, 본 발명의 실시 예에 따라 제조되는 박막 트랜지스터는 게이트 전극(200a), 상기 게이트 전극(200a)의 상부 또는 하부에 배치되고, 수평 방향으로 서로 이격되는 소스 전극(510a) 및 드레인 전극(520a), 상기 게이트 전극(200a)과, 소스 전극(510a) 및 드레인 전극(520a) 사이에 배치되는 활성층(400a) 및 상기 게이트 전극(200a)과, 활성층(400a) 사이에 배치되는 게이트 절연막(300a)을 포함한다.
여기서, 본 발명의 일 실시 예에 따른 박막 트랜지스터는 도 4에 도시된 바와 같이 탄화규소 기판(100a) 상에 형성되는 게이트 전극(200a)과, 게이트 전극(200a) 상에 형성되는 게이트 절연막(300a)과, 게이트 절연막(300a) 상에 형성되는 활성층(400a)과, 활성층(400a) 상에 상호 이격되어 형성되는 소스 전극(510a) 및 드레인 전극(520a)을 포함하는 바텀 게이트(bottom gate)형 박막 트랜지스터일 수도 있으나, 이와 달리 게이트 전극(200a)이 상부에 배치되는 탑 게이트(top gate)형 박막 트랜지스터에도 동일하게 적용될 수도 있음은 물론이다.
여기서, 탄화규소 기판(100a)은 탄화규소(SiC)를 주성분으로 함유하는 기판을 포함할 수 있다. 이때, 기판은 탄화규소 단결정 웨이퍼를 포함할 수 있다.
게이트 전극(200a)은 도전 물질을 이용하여 형성할 수 있는데, 예를 들어 알루미늄(Al), 네오디뮴(Nd), 은(Ag), 크롬(Cr), 티타늄(Ti), 탄탈륨(Ta), 몰리브덴(Mo) 및 구리(Cu) 중 적어도 어느 하나의 금속 또는 이들을 포함하는 합금으로 형성할 수 있다. 또한, 게이트 전극(200a)은 단일층 뿐 아니라 복수 개의 금속층으로 이루어지는 다중층으로 형성할 수 있다. 즉, 물리 화학적 특성이 우수한 크롬(Cr), 티타늄(Ti), 탄탈륨(Ta), 몰리브덴(Mo) 등의 금속층과 비저항이 작은 알루미늄(Al) 계열, 은(Ag) 계열 또는 구리(Cu) 계열의 금속층을 포함하는 이중층으로 형성할 수도 있다.
게이트 절연막(300a)은 게이트 전극(200a) 상에 형성된다. 즉, 게이트 절연막(300a)은 게이트 전극(200a)의 상부 및 측부를 포함한 탄화규소 기판(100a) 상에 형성될 수 있다. 게이트 절연막(300a)은 금속 물질과의 밀착성이 우수하며 절연 내압이 우수한 산화규소(SiO2)를 이용한 박막으로 형성할 수 있으나, 산화규소(SiO2)보다 높은 유전율을 가지는 하이-K(high-K) 유전체로 형성될 수 있다. 즉, 게이트 절연막(300a)은 적어도 하나의 하이-K(high-K) 유전체층을 포함할 수 있다. 이때, 하이-K(high-K) 유전체는 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSiO4), 란타늄 산화물(LaO2), 란타늄 알루미늄 산화물(LaAlO3), 지르코늄 산화물(ZrO2), 지르코늄 실리콘 산화물(ZrSiO4), 탄탈륨 산화물(Ta2O5), 티타늄 산화물(TiO2), 바륨 스트론튬 티타늄 산화물(BaSrTiO3), 바륨 티타늄 산화물(BaTiO3), 스트론튬 티타늄 산화물(SrTiO3), 이리듐 산화물(IrO2) 중 적어도 하나의 물질을 포함할 수 있다.
이와 같은 게이트 절연막(300a)은 전술한 바와 같이, 탄화규소 기판을 마련하는 단계(S100) 및 탄화규소 기판 상에 100 내지 400℃의 온도에서 원자층 증착 공정으로 게이트 절연막을 형성하는 단계(S200)를 포함하는 본 발명의 실시 예에 따른 박막 증착 방법으로 형성될 수 있다. 즉, 게이트 절연막(300a)은 원료 가스를 공급하는 단계(S210), 탄화수소 기판을 플라즈마로 전처리하는 단계(S220), 반응 가스를 공급하는 단계(S230) 및 탄화수소 기판을 플라즈마로 후처리하는 단계(S240)를 포함하는 공정 사이클을 복수 회로 수행하는 박막 증착 방법으로 형성될 수 있다.
활성층(400a)은 게이트 절연막(300a) 상에 형성되며, 적어도 일부가 게이트 전극(200a)과 중첩되도록 형성된다. 활성층(400a)은 예를 들어, 금속 산화물 박막으로 형성될 수 있는데 단일 금속 산화물 박막으로 형성될 수도 있고, 복수 개의 금속 산화물 박막으로 형성될 수도 있다. 이와 같은 금속 산화물 박막은 아연 산화물(ZnO)를 포함하거나, 아연 산화물(ZnO)에 인듐(In) 및 갈륨(Ga) 중 적어도 하나가 도핑된 물질을 포함할 수 있다.
소스 전극(510a) 및 드레인 전극(520a)은 활성층(400a) 상부에 형성되며, 게이트 전극(200a)과 일부 중첩되어 게이트 전극(200a)을 사이에 두고 소스 전극(510a)과 드레인 전극(520a)이 상호 이격되어 형성될 수 있다. 소스 전극(510a) 및 드레인 전극(520a)은 상호 동일 물질을 이용한 동일 공정에 의해 형성할 수 있으며, 도전성 물질을 이용하여 형성할 수 있는데, 예를 들어 알루미늄(Al), 네오디뮴(Nd), 은(Ag), 크롬(Cr), 티타늄(Ti), 탄탈륨(Ta) 및 몰리브덴(Mo) 중 적어도 어느 하나의 금속 또는 이들을 포함하는 합금으로 형성할 수 있다. 즉, 게이트 전극(200a)과 동일 물질로 형성할 수 있으나, 다른 물질로 형성할 수도 있다. 또한, 소스 전극(510a) 및 드레인 전극(520a)은 각각 단일층 뿐 아니라 복수 금속층의 다중층으로 형성할 수도 있음은 물론이다.
도 5는 본 발명의 실시 예에 따라 제조되는 전력 반도체 소자의 일 예를 나타내는 도면이다.
도 5를 참조하면, 본 발명의 실시 예에 따라 제조되는 전력 반도체 소자, 예를 들어 전계 효과 트랜지스터(FET; Field Effect Transistor)는 탄화규소 기판(100b), 탄화규소 기판(100b) 상에 형성되는 게이트 절연막(300b), 탄화규소 기판(100b) 상에서 게이트 절연막(300b)을 사이에 두고 수평 방향으로 이격되게 마련된 소스 전극(510b)과 드레인 전극(520b) 및 소스 전극(510b)과 드레인 전극(520b) 사이에서 게이트 절연막(300b) 상에 마련된 게이트 전극(200b)을 포함한다. 여기서, 탄화규소 기판(100b)은 내부에 도펀트가 주입되어 복수의 반도체 영역이 형성된 기판을 포함할 수 있으며, 복수의 반도체 영역은 전계 효과 트랜지스터의 소스로서 기능하는 소스 영역(110b), 전계 효과 트랜지스터의 드레인으로서 기능하는 드레인 영역(120b) 및 전계 효과 트랜지스터의 활성층으로 기능하는 웰 영역(130b)을 포함할 수 있다.
이때, 본 발명의 실시 예에서는 전력 반도체 소자를 제조함에 있어서, 탄화규소 기판(100b) 상에 게이트 절연막(200b)을 형성하기 위하여, 전술한 바와 같이 탄화규소 기판(100b)을 마련하는 단계(S100) 및 탄화규소 기판(100b) 상에 100 내지 400℃의 온도에서 원자층 증착 공정으로 게이트 절연막을 형성하는 단계(S200)를 포함하는 본 발명의 실시 예에 따른 박막 증착 방법을 사용할 수 있다.
즉, 전력 반도체 소자에서 게이트 절연막(300b)을 원료 가스를 공급하는 단계(S210), 탄화수소 기판을 플라즈마로 전처리하는 단계(S220), 반응 가스를 공급하는 단계(S230) 및 탄화수소 기판을 플라즈마로 후처리하는 단계(S240)를 포함하는 공정 사이클을 복수 회로 수행하는 박막 증착 방법으로 형성할 수 있다.
이때, 게이트 절연막(300b)은 적어도 하나의 하이-K(high-K) 유전체층을 포함할 수 있으며, 하이-K(high-K) 유전체는 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSiO4), 란타늄 산화물(LaO2), 란타늄 알루미늄 산화물(LaAlO3), 지르코늄 산화물(ZrO2), 지르코늄 실리콘 산화물(ZrSiO4), 탄탈륨 산화물(Ta2O5), 티타늄 산화물(TiO2), 바륨 스트론튬 티타늄 산화물(BaSrTiO3), 바륨 티타늄 산화물(BaTiO3), 스트론튬 티타늄 산화물(SrTiO3), 이리듐 산화물(IrO2) 중 적어도 하나의 물질을 포함할 수 있음은 전술한 박막 트랜지스터의 경우와 동일하므로 이에 대한 중복적인 설명은 생략하기로 한다.
이와 같이, 본 발명의 실시 예에 따르면, 저온 공정으로 탄화규소 기판 상에 게이트 절연막을 형성할 수 있다. 또한, 게이트 절연막을 형성하기 위하여 기판을 승온시키는 시간을 절약할 수 있으며, 이에 의하여 디스플레이 장치 또는 전력 반도체 소자의 제조 시간을 단축시킬 수 있다.
또한, 본 발명의 실시 예에 따르면 높은 항복 전압을 가지면서도 열 방출이 우수한 디스플레이 장치 또는 전력 반도체 소자를 제조할 수 있다.
상기에서, 본 발명의 바람직한 실시 예가 특정 용어들을 사용하여 설명 및 도시되었지만 그러한 용어는 오로지 본 발명을 명확하게 설명하기 위한 것일 뿐이며, 본 발명의 실시 예 및 기술된 용어는 다음의 청구범위의 기술적 사상 및 범위로부터 이탈되지 않고서 여러 가지 변경 및 변화가 가해질 수 있는 것은 자명한 일이다. 이와 같이 변형된 실시 예들은 본 발명의 사상 및 범위로부터 개별적으로 이해되어져서는 안 되며, 본 발명의 청구범위 안에 속한다고 해야 할 것이다.

Claims (7)

  1. 복수의 반도체 영역을 가지는 탄화규소 기판을 마련하는 단계; 및
    상기 탄화규소 기판 상에, 100 내지 400℃의 온도에서 원자층 증착 공정으로 게이트 절연막을 형성하는 단계;를 포함하는 박막 증착 방법.
  2. 청구항 1에 있어서,
    상기 게이트 절연막을 형성하는 단계 이전에,
    상기 탄화규소 기판을 플라즈마로 표면 처리하는 단계;를 더 포함하는 박막 증착 방법.
  3. 청구항 1에 있어서,
    상기 게이트 절연막을 형성하는 단계는,
    상기 탄화수소 기판 상에 원료 가스를 공급하는 단계;
    상기 탄화수소 기판을 플라즈마로 전처리하는 단계;
    상기 탄화수소 기판 상에 반응 가스를 공급하는 단계; 및
    상기 탄화수소 기판을 플라즈마로 후처리하는 단계;를 포함하고,
    상기 원료 가스를 공급하는 단계, 전처리하는 단계, 반응 가스를 공급하는 단계 및 후처리하는 단계를 포함하는 공정 사이클은 복수 회로 수행되는 박막 증착 방법.
  4. 청구항 1에 있어서,
    상기 전처리하는 단계 및 후처리하는 단계는,
    상기 탄화수소 기판 상에 수소 가스를 분사하는 단계; 및
    상기 수소 가스를 방전시켜, 상기 탄화수소 기판 상에 플라즈마를 발생시키는 단계;를 포함하는 박막 증착 방법.
  5. 청구항 1에 있어서,
    상기 게이트 절연막은 하이-K(high-K) 유전체층을 포함하는 박막 증착 방법.
  6. 청구항 5에 있어서,
    상기 게이트 절연막은 상기 하이-K(high-K) 유전체층의 상부 및 하부 중 적어도 하나에 마련되는 산화규소층 또는 질화규소층을 더 포함하는 박막 증착 방법.
  7. 청구항 1에 있어서,
    상기 탄화수소 기판을 마련하는 단계는,
    소스 영역, 웰 영역 및 드레인 영역을 가지는 탄화규소 기판을 마련하고,
    상기 게이트 절연막을 형성하는 단계는,
    상기 웰 영역 상에 게이트 절연막을 형성하는 박막 증착 방법.
PCT/KR2022/006409 2021-05-17 2022-05-04 박막 증착 방법 WO2022245021A1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202280034996.0A CN117321731A (zh) 2021-05-17 2022-05-04 沉积薄膜的方法
US18/560,924 US20240258104A1 (en) 2021-05-17 2022-05-04 Thin film deposition method
JP2023571349A JP2024523781A (ja) 2021-05-17 2022-05-04 薄膜の蒸着方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2021-0063491 2021-05-17
KR1020210063491A KR20220155789A (ko) 2021-05-17 2021-05-17 박막 증착 방법

Publications (1)

Publication Number Publication Date
WO2022245021A1 true WO2022245021A1 (ko) 2022-11-24

Family

ID=84140761

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2022/006409 WO2022245021A1 (ko) 2021-05-17 2022-05-04 박막 증착 방법

Country Status (6)

Country Link
US (1) US20240258104A1 (ko)
JP (1) JP2024523781A (ko)
KR (1) KR20220155789A (ko)
CN (1) CN117321731A (ko)
TW (1) TW202309332A (ko)
WO (1) WO2022245021A1 (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100469132B1 (ko) * 2004-05-18 2005-01-29 주식회사 아이피에스 주기적 펄스 두 단계 플라즈마 원자층 증착장치 및 방법
KR20050064570A (ko) * 2003-12-24 2005-06-29 한국전자통신연구원 게이트 절연막의 형성 방법
KR20190052001A (ko) * 2016-09-26 2019-05-15 젯트에프 프리드리히스하펜 아게 규소 탄화물 상의 절연 층 및 반도체 소자 제조 방법

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100916211B1 (ko) 2007-11-28 2009-09-08 매트릭스세미컨덕터(주) 전력용 반도체 소자의 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050064570A (ko) * 2003-12-24 2005-06-29 한국전자통신연구원 게이트 절연막의 형성 방법
KR100469132B1 (ko) * 2004-05-18 2005-01-29 주식회사 아이피에스 주기적 펄스 두 단계 플라즈마 원자층 증착장치 및 방법
KR20190052001A (ko) * 2016-09-26 2019-05-15 젯트에프 프리드리히스하펜 아게 규소 탄화물 상의 절연 층 및 반도체 소자 제조 방법

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HEO SEUNG CHAN; LIM DONGHWAN; JUNG WOO SUK; CHOI RINO; YU HYUN-YONG; CHOI CHANGHWAN: "Remote plasma atomic layer deposited Al2O34H SiC MOS capacitor with remote H2plasma passivation and post metallization annealing", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM., NL, vol. 147, 1 January 1900 (1900-01-01), NL , pages 239 - 243, XP029212320, ISSN: 0167-9317, DOI: 10.1016/j.mee.2015.04.059 *
SCHILIRÒ E., FIORENZA P., DI FRANCO S., BONGIORNO C., SAGGIO M., ROCCAFORTE F., LO NIGRO R.: "Effect of SiO 2 interlayer on the properties of Al 2 O 3 thin films grown by plasma enhanced atomic layer deposition on 4H-SiC substrates : Effect of SiO 2 interlayer on the properties of Al 2 O 3 thin films", PHYSICA STATUS SOLIDI. A: APPLICATIONS AND MATERIALS SCIENCE, WILEY - V C H VERLAG GMBH & CO. KGAA, DE, vol. 214, no. 4, 1 April 2017 (2017-04-01), DE , pages 1600365, XP093007006, ISSN: 1862-6300, DOI: 10.1002/pssa.201600365 *

Also Published As

Publication number Publication date
US20240258104A1 (en) 2024-08-01
JP2024523781A (ja) 2024-07-02
KR20220155789A (ko) 2022-11-24
CN117321731A (zh) 2023-12-29
TW202309332A (zh) 2023-03-01

Similar Documents

Publication Publication Date Title
US6649218B2 (en) Single substrate processing film forming method
TWI500084B (zh) Manufacturing method of semiconductor device
US9653301B2 (en) Semiconductor device having electrode made of high work function material, method and apparatus for manufacturing the same
WO2021096326A1 (ko) 표면 보호 물질을 이용한 박막 형성 방법
KR101799146B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 시스템
KR101537946B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기억 매체 및 기판 처리 장치
WO2006137287A1 (ja) 半導体装置の製造方法および基板処理装置
KR20110073342A (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP3258885B2 (ja) 成膜処理装置
WO2021006565A1 (ko) 박막 트랜지스터
WO2016085004A1 (ko) 나노 박막층을 구비하는 적층 세라믹 칩 부품과 이의 제조 방법 및 이를 위한 원자층 증착 장치
JP2005194540A (ja) 成膜方法及び半導体装置
WO2022245021A1 (ko) 박막 증착 방법
WO2014119971A1 (ko) 박막증착장치
WO2022239948A1 (ko) 박막 형성 방법
WO2024117712A1 (ko) 반도체 소자 및 이의 제조 방법
WO2022255833A1 (ko) 박막 증착 방법
WO2023146248A1 (ko) 박막 제조방법 및 박막
WO2024019392A1 (ko) 박막 제조방법, 박막, 및 기판처리장치
KR100594207B1 (ko) 원자층 증착법을 이용한 박막 형성방법
WO2024167267A1 (ko) 박막 형성 방법 및 캡핑층의 형성 방법
WO2021112471A1 (ko) 전극 형성 방법
WO2023163466A1 (ko) 기판처리방법
WO2010019008A2 (en) Vapor deposition reactor
WO2015072690A1 (ko) 원자층 증착 장치 및 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22804876

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 202280034996.0

Country of ref document: CN

Ref document number: 18560924

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 2023571349

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 22804876

Country of ref document: EP

Kind code of ref document: A1