WO2021123135A1 - Scatterometer and method of scatterometry using acoustic radiation - Google Patents

Scatterometer and method of scatterometry using acoustic radiation Download PDF

Info

Publication number
WO2021123135A1
WO2021123135A1 PCT/EP2020/086982 EP2020086982W WO2021123135A1 WO 2021123135 A1 WO2021123135 A1 WO 2021123135A1 EP 2020086982 W EP2020086982 W EP 2020086982W WO 2021123135 A1 WO2021123135 A1 WO 2021123135A1
Authority
WO
WIPO (PCT)
Prior art keywords
acoustic
radiation
periodic structure
scatterometer
overlay
Prior art date
Application number
PCT/EP2020/086982
Other languages
French (fr)
Inventor
Mustafa Ümit ARABUL
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2021123135A1 publication Critical patent/WO2021123135A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B06GENERATING OR TRANSMITTING MECHANICAL VIBRATIONS IN GENERAL
    • B06BMETHODS OR APPARATUS FOR GENERATING OR TRANSMITTING MECHANICAL VIBRATIONS OF INFRASONIC, SONIC, OR ULTRASONIC FREQUENCY, e.g. FOR PERFORMING MECHANICAL WORK IN GENERAL
    • B06B1/00Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency
    • B06B1/02Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy
    • B06B1/06Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction
    • B06B1/0644Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction using a single piezoelectric element
    • B06B1/0662Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction using a single piezoelectric element with an electrode on the sensitive surface
    • B06B1/067Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction using a single piezoelectric element with an electrode on the sensitive surface which is used as, or combined with, an impedance matching layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B06GENERATING OR TRANSMITTING MECHANICAL VIBRATIONS IN GENERAL
    • B06BMETHODS OR APPARATUS FOR GENERATING OR TRANSMITTING MECHANICAL VIBRATIONS OF INFRASONIC, SONIC, OR ULTRASONIC FREQUENCY, e.g. FOR PERFORMING MECHANICAL WORK IN GENERAL
    • B06B1/00Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency
    • B06B1/02Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy
    • B06B1/06Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction
    • B06B1/0644Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction using a single piezoelectric element
    • B06B1/0662Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction using a single piezoelectric element with an electrode on the sensitive surface
    • B06B1/0677Methods or apparatus for generating mechanical vibrations of infrasonic, sonic, or ultrasonic frequency making use of electrical energy operating with piezoelectric effect or with electrostriction using a single piezoelectric element with an electrode on the sensitive surface and a high impedance backing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/04Analysing solids
    • G01N29/043Analysing solids in the interior, e.g. by shear waves
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/04Analysing solids
    • G01N29/06Visualisation of the interior, e.g. acoustic microscopy
    • G01N29/0654Imaging
    • G01N29/069Defect imaging, localisation and sizing using, e.g. time of flight diffraction [TOFD], synthetic aperture focusing technique [SAFT], Amplituden-Laufzeit-Ortskurven [ALOK] technique
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N29/00Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object
    • G01N29/34Generating the ultrasonic, sonic or infrasonic waves, e.g. electronic circuits specially adapted therefor
    • G01N29/348Generating the ultrasonic, sonic or infrasonic waves, e.g. electronic circuits specially adapted therefor with frequency characteristics, e.g. single frequency signals, chirp signals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2291/00Indexing codes associated with group G01N29/00
    • G01N2291/26Scanned objects
    • G01N2291/269Various geometry objects
    • G01N2291/2697Wafer or (micro)electronic parts

Definitions

  • the present disclosure relates to a scatterometer and method of scatterometry usable, for example, in the manufacture of devices by lithographic techniques.
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).
  • a lithographic apparatus may use electromagnetic radiation.
  • the wavelength of this radiation determines the minimum size of features which can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm.
  • a lithographic apparatus which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
  • EUV extreme ultraviolet
  • Low-kl lithography may be used to process features with dimensions smaller than the classical resolution limit of a lithographic apparatus.
  • the smaller kl the more difficult it becomes to reproduce the pattern on the substrate that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance.
  • sophisticated fine-tuning steps may be applied to the lithographic projection apparatus and/or design layout.
  • RET resolution enhancement techniques
  • These devices direct a beam of electromagnetic radiation onto a target and measure one or more properties of the scattered electromagnetic radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest of the target can be determined.
  • properties of the scattered electromagnetic radiation e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest of the target can be determined.
  • optical scatterometers There are limitations in the performance of optical scatterometers. For example, for controlling the manufacture of semiconductor devices such as 3D XPoint non-volatile memory and 3D NAND, it is difficult or impossible to measure overlay through opaque mask layers that separate the overlaid upper pattern from the lower pattern.
  • the opaque layers may be metal layers of several 10s of nm in thickness and carbon hardmasks of several pm in thickness. Metrology using optical scatterometers is challenging as the masks employed are barely transmissive for electromagnetic radiation, with the extreme case being metal masks, where electromagnetic radiation is absorbed and does not go through the metal mask at all.
  • a transducer element comprising a backing structure and an impedance matching structure.
  • a method to fabricate a transducer element comprising forming a backing structure, forming a piezoelectric element and forming an impedance matching structure.
  • Figure 1 depicts a schematic overview of a lithographic apparatus
  • Figure 2 depicts a schematic overview of a lithographic cell
  • Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing
  • Figure 4 depicts, in schematic form, different configurations of acoustic transducers that may be used in embodiments of the present invention
  • Figure 5 depicts, in schematic form, a scatterometer in accordance with an embodiment of the present invention
  • Figures 6 and 7 depict a simulated pressure distribution for a symmetrical structure and an asymmetrical structure respectively;
  • Figure 8 depicts, in schematic form, a simplified model to explain signal formation for conventional optical diffraction-based overlay
  • Figure 9 is a graph of a conventional optical swing curve
  • Figure 10 depicts, in schematic form a simplified model to explain signal formation for acoustic diffraction-based overlay
  • Figure 11 is a graph of an acoustic swing curve
  • Figure 12 depicts, in schematic form, an acoustic scatterometer with three acoustic transducers including a central acoustic source, in accordance with an embodiment of the present invention
  • Figure 13 depicts, in schematic form, an acoustic scatterometer implemented with a phased array of transducers, in accordance with an embodiment of the present invention
  • Figures 14a and 14b depict, in schematic form, an acoustic scatterometer with three acoustic transducers including a central acoustic detector, in accordance with an embodiment of the present invention
  • FIGS. 15a and 15b depict, in schematic form, an acoustic scatterometer with two transducers operating as transceivers, in accordance with an embodiment of the present invention
  • FIGS. 16a and 16b depict, in schematic form, an acoustic scatterometer with one transducer operating as a transceiver, in accordance with an embodiment of the present invention
  • Figure 17 depicts a method in accordance with an embodiment of the present invention.
  • Figure 18 depicts a method including projecting acoustic radiation at different times and with first and second angles of incidence, in accordance with an embodiment of the present invention.
  • FIG. 1 schematically depicts a lithographic apparatus LA.
  • the lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition an electromagnetic radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a support structure (e.g., a mask table) T constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
  • the illuminator IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD.
  • the illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
  • projection system PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
  • the lithographic apparatus may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate - which is also referred to as immersion lithography. More information on immersion techniques is given in U. S. Patent No. 6,952,253 and in PCT publication No. WO99-49504, which are incorporated herein by reference.
  • a liquid having a relatively high refractive index e.g., water
  • the lithographic apparatus LA may also be of a type having two (dual stage) or more substrate tables WT and, for example, two or more support structure T (not shown).
  • the additional tables / structures may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposing the design layout of the patterning device MA onto the substrate W.
  • the radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table T), and is patterned by the patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B.
  • first positioner PM and possibly another position sensor may be used to accurately position the mask MA with respect to the path of the radiation beam B.
  • Mask MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W.
  • a lithographic cell LC also sometimes referred to as a lithocell or (litho)cluster
  • these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers.
  • a substrate handler, or robot, RO picks up substrates W from input/output ports I/Ol, 1/02, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA.
  • the devices in the lithocell which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
  • a supervisory control system SCS which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
  • one or more inspection tool MT may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
  • An inspection apparatus which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer.
  • the inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device.
  • the inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
  • the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W.
  • three systems may be combined in a so called “holistic” control environment as schematically depicted in Fig. 3.
  • One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system).
  • the key of such “holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window.
  • the process window defines a range of process parameters (e.g. dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.
  • the computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SCI).
  • the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA.
  • the computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MT) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing “0” in the second scale SC2).
  • the metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 in the third scale SC3).
  • a calibration status of the lithographic apparatus LA depicted in Fig. 3 in the third scale SC3
  • tools to make such measurement are typically called metrology tools MT.
  • Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of optical scatterometer metrology tools MT.
  • Optical scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements.
  • Such scatterometers and the associated measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 or EP1,628,164A, incorporated herein by reference in their entirety.
  • Aforementioned scatterometers may measure gratings using light from soft x-ray, visible light to near-IR wavelength range.
  • the scatterometer MT may be an angular resolved scatterometer.
  • reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating.
  • Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
  • the scatterometer MT may be a spectroscopic scatterometer MT.
  • the radiation emitted by a radiation source is directed onto the target and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.
  • the scatterometer MT may be an ellipsometric scatterometer.
  • the ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states.
  • Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus.
  • a source suitable for the metrology apparatus may provide polarized radiation as well.
  • a metrology target may be an ensemble of composite gratings, formed by a lithographic process, mostly in resist, but also after etch process for example.
  • the pitch and line-width of the structures in the gratings strongly depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets.
  • the targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller than the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time.
  • substrate measurement recipe may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both.
  • the measurement used in a substrate measurement recipe is a diffraction-based optical measurement
  • one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc.
  • One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and US patent application US 2016-0370717, incorporated herein by reference in their entirety.
  • an acoustic scatterometer may be used to replace the optical scatterometer and its function in the lithographic cell of Figure 2 or control environment of Figure 3.
  • the term “radiation” is used to encompass all types of acoustic radiation, including ultrasonic radiation.
  • overlay between overlapping gratings is measured as an intensity asymmetry between diffracted acoustic orders.
  • Embodiments of the present invention may be used to implement diffraction-based acoustic metrology, wherein periodic structure asymmetry or overlay is determined from the asymmetry between acoustic diffracted orders.
  • the all-acoustic overlay measurement may be performed by detecting the scattered acoustic pressure distribution when two gratings or structures placed on top of each other are excited by an acoustic wave. Since acoustic waves also obey the wave equation (Section 4, below), similar diffraction phenomena can be observed. The diffracted acoustic wave will also have an asymmetric distribution in the higher orders, should an overlay between gratings be present. This can be detected by single transducers or by an array of 2D (two-dimensional) transducers. Today’s technology allows fabrication of 2D acoustic detectors in the tens of micron range.
  • phase data can be included in the measurements. In acoustics one can detect the actual phase of the sound waves if the frequencies are in the MHz -GHz range. For frequencies above GHz where digital acquisition is no longer possible, phase retrieval is required.
  • FIG. 4 depicts, in schematic form, different configurations of acoustic transducers that may be used in embodiments of the present invention.
  • a curved piezo element 402 is shaped to produce a focus of acoustic radiation 404.
  • a phased-array piezo element 406 uses electronic delays between activation of discrete sub-elements to achieve electronic focusing at and detection from a focal point 408.
  • An acoustic lens 410 has a piezo element 412 and a shaped component 414 to achieve a focus 416.
  • Acoustic microscopy uses very high or ultra-high-frequency ultrasound to image structures.
  • Ultrasound is defined as a sound having a frequency above 20 kHz.
  • Acoustic microscopes may operate with ultrasound ranging from ranging from 5 MHz to beyond 400 MHz, and as high as 2 to 5 GHz.
  • Acoustic microscopes are non-destructive. The acoustic radiation penetrates solid materials and the reflection and transmission properties of the ultrasonic radiation are used to detect cracks, voids, delamination and other defects within solid samples.
  • Ultrasound at low frequencies penetrates deeper into materials compared to ultrasound at high frequencies.
  • Semiconductor devices are typically fabricated in layers on a substrate, therefore high- frequency ultrasound, which does not penetrate deeply, is suitable for imaging defects in semiconductor devices.
  • periodic structures such as overlaid gratings may be very close to and at the surface of the substrate. Therefore the acoustic radiation used in accordance with such embodiments of the present invention may use ultra-high frequency ultrasound that does not penetrate very deeply.
  • Figure 5 depicts an acoustic scatterometer 502.
  • the scatterometer has an acoustic source 520 operable to project acoustic radiation 526 onto a periodic structure 538 and 540 formed on a substrate 536.
  • the acoustic source is controlled by a processing unit 506, which outputs a control signal 512 to control acoustic projection by the acoustic source 520.
  • An acoustic detector 518 is operable to detect the -1st acoustic diffraction order 528 diffracted by the periodic structure 538 and 540 while discriminating from specular reflection (0th order 532).
  • Another acoustic detector 522 is operable to detect the -i-lst acoustic diffraction order 530 diffracted by the periodic structure, again while discriminating from the specular reflection (0th order 532).
  • the acoustic source and acoustic detector may be piezo transducers as described with reference to Figure 4.
  • acoustic sources are labelled “Tx” as in “transmit” and detectors are labelled “Rx” as in “receive”.
  • the angle of incidence of the projected acoustic radiation 526 and location of the detectors 518 and 522 are arranged with respect to the periodic structure 538 and 540 such that the detection of the -1st and -i-lst acoustic diffraction orders 528 and 530 discriminates from the 0th order specular reflection 532.
  • the periodic structure has a direction of periodicity perpendicular to the lines of the grating, i.e. from left to right in the cross-section depicted in Figure 5.
  • the locations of the detectors are distributed along that direction, i.e. from left to right.
  • a couplant system provides a couplant 524 to facilitate transmission of the acoustic radiation between the acoustic source 520 and the acoustic detectors 518, 522 via the periodic structure 528 and 530.
  • a suitable couplant is distilled water.
  • the scatterometer 502 is operable to determine a property (in this example overlay error, OV) 504 of the substrate based on the detected acoustic diffraction orders 528, 530.
  • the scatterometer 502 is operable to determine the property 504 of the substrate based on at least one of amplitude, phase and direction of one or more of the detected acoustic diffraction orders 528, 530.
  • the property of the substrate may comprises a physical property of the periodic structure, such as CD or overlay.
  • the scatterometer 502 is operable to determine a structural asymmetry 504 of the periodic structure 538 and 540 based on asymmetry of corresponding opposite detected (-1st 528 and -i-lst 530) acoustic diffraction orders diffracted by the periodic structure 538 and 540.
  • the acoustic detector 518 outputs an intensity signal L ⁇ 514 which is a measure of the amplitude of the -1st acoustic diffraction order 514.
  • the acoustic detector 522 outputs an intensity signal I + ⁇ 516 which is a measure of the amplitude of the +lst acoustic diffraction order 530.
  • the detectors may be configured to detect phase or direction of the diffracted acoustic orders.
  • overlay may be extracted from the asymmetry between the corresponding opposite acoustic diffraction orders
  • overlay is just one of the parameters which can affect the structural asymmetry.
  • Various features of a grating or differences between one or more gratings may result in an acoustic diffraction order asymmetry.
  • the features or differences may be physical shape differences such as sidewall angle, floor tilt or top tilt.
  • the features or differences may be process-induced by variation of process parameters related to pitch, focus, or dose for example. Measurement of these process parameters through the asymmetry of the acoustic diffraction orders allows control of the process to mitigate the process- induced variation.
  • the grating or gratings may be one-dimensional (e.g. a set of parallel lines) or two- dimensional (e.g. an array of dots).
  • opposite acoustic diffraction orders are first orders
  • other orders may be used, for example the second or third order.
  • Orders may be combined together, for example, the opposite diffraction orders may be -1st and -2nd on the one hand and -i-lst and +2nd orders on the other hand.
  • the periodic structure is now described in more detail. As depicted in cross-section in Figure 5, it has a grating 538 overlaid over another grating 540, separated by a layer 534.
  • the layer 534 may be opaque to optical radiation, but transmits acoustic radiation.
  • the output intensity signals L ⁇ 514 and I + ⁇ 516 are received by a processing unit 506.
  • the signals are subtracted by a subtraction block 510.
  • a post-processing block 508 multiplies the subtracted delta (7- 1 - 7 +i ) by UK to obtain the overlay error OV 504.
  • analogue circuitry may be used to determine the overlay and/or other property of the substrate.
  • K may be determined using the following methods known from optical metrology.
  • a model of the structure may be used.
  • ‘nominal stack’ represents the best available knowledge about the actual stack of layers in the semiconductor process that are used to fabricate the structure.
  • Another option is to determine K experimentally via set/get experiments. Various induced overlay values are fabricated. A multitude of targets with programmed overlays are printed (set wafers) and overlay sensitivity K is measured on the wafers (intensity variation as a function of overlay).
  • +/- d biased targets may be used to determine K, where d is a deliberate overlay offset. This leads to two equations where K and overlay OV are unknown, and asymmetry of intensity and d (bias) are known. K may be determined using these biased targets. One can also measure overlay OV directly without knowing K.
  • the asymmetry of amplitude is used, by subtracting intensity signals from the acoustic detectors, as follows.
  • the acoustic direction may be detected or angular direction may be detected and used to determine the overlay and/or other property of the substrate. At this frequency range phase is still measurable with transducers.
  • the acoustic direction can be deduced by a different propagation path which can be measured by comparing the phase of the incoming pulse with respect to the received echo pulse.
  • Figures 6 and 7 depict a simulated pressure distribution for a symmetrical structure 602 and an asymmetrical structure 702 respectively. These are the results for simulations for a realistic overlapping grating (using the K-wave toolbox for MATLABTM), wherein one can identify that an overlay error between the grating manifests itself as an asymmetry 704 in the diffracted acoustic wavefront, compared to the symmetrical case 604. These particular simulations are in transmission, but a similar effect is encountered in reflection as well.
  • All diffracted acoustic waves from an overlapping grating will be characterized by two propagation velocities in the material, corresponding to compression and shear waves - thus we will have three interfering waves in the signal formation model for the first (or higher) orders.
  • the overlay signal is shown to be proportional to the intensity difference between the diffracted orders;
  • the overlay sensitivity depends on two sine waves with different frequencies; and In order to estimate the sensitivity DI/OV an estimate of the reflection coefficient is needed. An alternative is to assume 10% reflection from each grating, making the overlay signal of the order of 1% (1x10 2 ) from the input signal.
  • Sections 3-5 contain a minimum of required theory in order to arrive at plane-wave solutions for an acoustic scattering problem.
  • Section 6 describes the classical signal formation model for optical DBO measurements.
  • Section 7 uses the theory from Sections 3-5 in order to derive a similar model for acoustics.
  • the main difference with respect to the optics model is the presence in solid materials of two waves travelling with different velocities. The consequence is a slightly more complex ’swing curve’ for the overlay sensitivity.
  • the displacement u is often expressed in terms of the compression wave (or P-wave) scalar potential p and shear wave (or S-wave) vector potential s .
  • the reason for this particular naming will become obvious in the next section.
  • the left-hand side is the gradient of a function of p
  • the right-hand side is the curl of a function of s .
  • these sides are always equal for all t and x, they must be equal to some constant, which we can take as zero.
  • Figure 8 depicts, in schematic form, a simplified model to explain signal formation for conventional optical diffraction-based overlay.
  • Figure 9 is a graph of a conventional optical swing curve. Figure 9 shows a qualitative swing curve, where we assumed
  • Figure 10 depicts, in schematic form a simplified model to explain signal formation for acoustic diffraction-based overlay.
  • an acoustic plane-wave 1026 impinges on an overlay target 1035 comprising two ’overlayed’ gratings 1038 and 1040.
  • 1034 is a solid with m > 0 and thickness T. Note that the choice of materials is critical as m > 0 implies the presence of shear waves (next to compression waves). Thus, in the fluid-solid situation there are only compression waves in the fluid and both compression and shear waves in the solid. If the superstrate is replaced by a solid, or a very high-viscosity shear-wave couplant, a shear wave would need to be taken into account in the superstate as well.
  • the overlay is extracted from the intensity difference
  • the ’swing curve’ for acoustic overlay measurement consists of two sines with different frequencies.
  • Table 1 lists the material properties relevant for acoustic waves.
  • Table 1 Material properties relevant for acoustic waves.
  • Figure 11 is a graph of an acoustic swing curve. Figure 11 shows a qualitative swing curve, where we assumed
  • the modulus of the overlay sensitivity can be estimated for a best case scenario as follows
  • the values A, B, C can be determined with a rigorous acoustic model.
  • Figure 12 depicts an acoustic scatterometer with three acoustic transducers and a central acoustic source. This is the same configuration as depicted in Figure 5. It is reproduced here to depict the elements, which have the same reference numerals (beginning with 12), that are found in other examples depicted in Figures 13 to 16.
  • the scatterometer has an acoustic source 1220 operable to project acoustic radiation 1226 onto a periodic structure 1238 formed on a substrate 1234.
  • An acoustic detector 1218 is operable to detect the -1st acoustic diffraction order 1228 diffracted by the periodic structure 1238 while discriminating from specular reflection (0th order 1232).
  • Another acoustic detector 1222 is operable to detect the -i-lst acoustic diffraction order 1230 diffracted by the periodic structure, again while discriminating from the specular reflection (0th order 1232).
  • the acoustic source and acoustic detector may be piezo transducers as described with reference to Figure 4.
  • the angle of incidence of the projected acoustic radiation 1226 and location of the detectors 1218 and 1222 are arranged with respect to the periodic structure 1238 such that the detection of the -1st and -i-lst acoustic diffraction orders 1228 and 1230 discriminates from the 0th order specular reflection 1232.
  • the angle of incidence and positions may be determined by simulation and/or experimentation and depend on the pitch of the gratings and wavelength of the acoustic radiation.
  • a couplant system provides a couplant 1224 to facilitate transmission of the acoustic radiation between the acoustic source 1220 and the acoustic detectors 1218, 1222 via the periodic structure 1238.
  • the acoustic detector 1218 outputs an intensity signal L ⁇ 1214 which is a measure of the -1st acoustic diffraction order 1228.
  • the acoustic detector 1222 outputs an intensity signal I + ⁇ 1216 which is a measure of the -i-lst acoustic diffraction order 1230.
  • the output intensity signals L ⁇ 1214 and I + ⁇ 1216 are received by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5.
  • Figure 13 depicts an acoustic scatterometer implemented with a phased array of transducers.
  • the sub-elements of the phased array are split into three groups 1318, 1320 and 1322, acting as a central acoustic source 1320 between a pair of acoustic detectors 1318 and 1322.
  • Acoustic detector 1318 is arranged to receive an acoustic diffraction order 1228 diffracted by the periodic structure 1238. Acoustic detector 1322 is arranged to receive another acoustic diffraction order 1230 diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order received by the first acoustic detector 1318.
  • Figures 14a and 14b depict an acoustic scatterometer with three acoustic transducers. There are two acoustic sources 1418, 1422 and a central acoustic detector 1420. The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences.
  • a first acoustic source 1418 is arranged to project ( Figure 14a) first acoustic radiation 1426 onto a periodic structure 1238 with a first angle of incidence.
  • a second acoustic source 1422 is arranged to project ( Figure 14b) second acoustic radiation 1480 onto a periodic structure 1238 with a second angle of incidence.
  • the first and second angles of incidence need not be equal.
  • a detector with a wide acceptance angle may be used, or even separate detectors to detect diffraction orders from the first and second acoustic sources.
  • the acoustic radiation is projected at the different times with first and second angles of incidence selected such that an acoustic detector 1420 is configurable to separately detect +lst (Figure 14a) and -1st ( Figure 14b) acoustic diffraction orders.
  • the acoustic detector 1420 is configured to detect the -i-lst diffraction order 1426, which has been diffracted by the periodic structure 1238 and arising from irradiation 1428 by the first acoustic source 1418.
  • the acoustic detector 1420 outputs an intensity signal I + ⁇ 1416 which is a measure of the +1 st acoustic diffraction order 1426.
  • the acoustic detector 1420 is configured to detect another acoustic diffraction order 1476, which has been diffracted by the periodic structure 1238 and arises from irradiation 1480 by the second acoustic source 1422.
  • Diffraction order 1476 is opposite (-1st order) with respect to the acoustic diffraction order 1426 (+lst order) arising from irradiation 1428 by the first acoustic source 1418.
  • the acoustic detector 1420 outputs an intensity signal L ⁇ 1464 which is a measure of the -1st acoustic diffraction order 1476.
  • the output intensity signals L ⁇ 1464 and I + ⁇ 1416 are received by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5.
  • the acoustic source in the examples depicted in Figures 5, 12, 13 and 14 are operable to project continuous wave acoustic radiation onto the periodic structure while the acoustic detector is operable to simultaneously detect the acoustic diffraction order diffracted by the periodic structure. This is allowed because the acoustic source and acoustic detector are not the same transducer.
  • Figures 15a and 15b depict an acoustic scatterometer with two transducers operating as transceivers. The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences.
  • An acoustic transceiver 1518 is configurable as the acoustic source (in Figure 15a) to project pulsed acoustic radiation 1526 and configurable as the acoustic detector (in Figure 15a) to detect a backscattered acoustic diffraction order 1528 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1526.
  • the acoustic transceiver 1518 outputs an intensity signal L ⁇ 1514 which is a measurement of the -1st acoustic diffraction order 1528.
  • the other acoustic transceiver 1520 is configurable as the acoustic source (in Figure 15b) to project pulsed acoustic radiation 1576 and configurable as the acoustic detector (in Figure 15b) to detect a backscattered acoustic diffraction order 1578 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1576.
  • the acoustic transceiver 1520 outputs an intensity signal I + ⁇ 1566 which is a measurement of the -i-lst acoustic diffraction order 1578.
  • the output intensity signals L ⁇ 1514 and I + ⁇ 1566 are received sequentially by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5.
  • Figures 16a and 16b depict an acoustic scatterometer with one transducer operating as a transceiver. The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences.
  • An acoustic transceiver 1618 is configurable as the acoustic source (in Figure 16a) to project pulsed acoustic radiation 1626 and configurable as the acoustic detector (in Figure 16a) to detect a backscattered acoustic diffraction order 1628 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1626.
  • the acoustic transceiver 1618 outputs an intensity signal L ⁇ 1614 which is a measurement of the -1st acoustic diffraction order 1628.
  • the periodic structure or the detector are rotated by 180 degrees around the direction perpendicular to the direction of periodicity of the periodic structure between measurement of the opposing acoustic diffraction orders.
  • the transceiver may be simply moved along another path and redirected at the target.
  • the transceiver 1618 is configurable as the acoustic source (in Figure 16b) to project pulsed acoustic radiation 1676 and configurable as the acoustic detector (in Figure 16b) to detect a backscattered acoustic diffraction order 1680 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1676.
  • the acoustic transceiver 1618 outputs an intensity signal I + ⁇ 1666 which is a measurement of the -i-lst acoustic diffraction order 1680.
  • FIG. 17 depicts a method of scatterometry. This method may be implemented using the scatterometers described with reference to Figures 5, 12 and 13.
  • a method of scatterometry comprises:
  • the angle of incidence of the projected acoustic radiation and location of the detector with respect to the periodic structure are arranged such that the detection of the acoustic diffraction order discriminates from specular reflection.
  • a couplant is provided to facilitate transmission of the acoustic radiation between the acoustic source and the acoustic detector via the periodic structure.
  • the property of the substrate may be determined based on at least one of amplitude, phase and direction of one or more detected acoustic diffraction order.
  • the property of the substrate may comprise a physical property of the periodic structure.
  • the method may comprising determining a structural asymmetry of the periodic structure based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the periodic structure.
  • the periodic structure may comprise a grating overlaid over another grating and the method may comprise determining an overlay error based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
  • the overlay error may be determined based on asymmetry of at least one of amplitude, phase and direction of the at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
  • Figure 18 depicts a method including projecting acoustic radiation at different times and with first and second angles of incidence, in accordance with an embodiment of the present invention. This method may be implemented using the scatterometers described with reference to Figures 14 to 16, and could even be implemented using the scatterometers described with reference to Figures 5, 12 and 13. [0131] With reference to Figure 18, acoustic radiation may be projected at different times 1802 (Txl), 1806 (Tx2) and with respective first and second angles of incidence selected to separately detect:
  • acoustic diffraction order e.g. +1
  • a property of the substrate is determined based on the detected acoustic diffraction order.
  • the methods of Figures 17 and 18 may comprise projecting continuous wave acoustic radiation onto the periodic structure while detecting the acoustic diffraction order diffracted by the periodic structure. [0136] The methods of Figures 17 and 18 may comprise projecting pulsed acoustic radiation and detecting a backscattered acoustic diffraction order diffracted by the periodic structure and arising from the projection of the pulsed acoustic irradiation.
  • Embodiments of the present invention provide a non-destructive and fast way to measure properties of periodic structures.
  • a measurement of only the zeroth order or the specular reflected light may be used to provide a measurement of a parameter of interest, in particular a parameter of interest such as overlay.
  • an acoustic polarizing element may be used.
  • An acoustic polarizing element may be a single transducer or a an array of 2D transducers,
  • the transducer 1220 of figure 12 may polarize the acoustic radiation in one polarizing direction and the transducers 1218 or 1222 of figure 12 may comprise an acoustic polarizing element in a direction orthogonal to the polarizing direction of transducer 1220.
  • Such a setup is called a cross polarizing setup.
  • a measurement of parameter of interest representative of product structures on a wafer may be measured.
  • a parameter of interest of a lithographic process such as overlay
  • a parameter of interest of a lithographic process such as overlay
  • the acoustic Jones matrix may be expressed as wherein the left side is the acoustic Jones matrix and the components of the right hand matrix are the reflection coefficients for an incident radiation with a polarization direction and outgoing radiation with a different or the same polarization direction of the acoustic radiation.
  • the light may be polarized along the shear or compression waves direction.
  • the principle of measurement overlay with electromagnetic radiation apply also to the measurement of overlay with acoustic radiation, with the difference that, in the acoustic metrology setup one needs to consider 3 polarization direction, and the combination thereof, as opposed to the case of electromagnetic radiation, wherein only 2 polarization directions are needed.
  • the setup for acoustic metrology described in previous embodiments is also applicable for measurements in an acoustic cross polarizing setup with the difference that zeroth order of the acoustic radiation is detected and not the diffracted orders of the acoustic radiation.
  • Acoustic polarized radiation may be created with known methods, as state of the art comprises solutions to forming both shearing and compressing polarized acoustic radiation.
  • Scatterometers in accordance with embodiments of the present invention may be used where optical scatterometers are not suitable. Alternatively, scatterometers in accordance with embodiments of the present invention may be used to complement an optical scatterometer in an inspection apparatus.
  • a suitable resolution of the acoustic metrology tool of figure 5 is in the nanometer range, for example 100 nanometer. Such resolution may be achieve by having the acoustic metrology tool operating in GHz regime, for example around and above 1 GHz.
  • a simple layout of an acoustic transducer is a piezoelectric material which has metal electrodes.
  • the backing layers of step A) are stiff materials, which have also the role of damping the oscillations emitted by the piezoelectric element.
  • the advantage is a reduction in the reverberation of the piezoelectric element and the stabilization of the ultrasonic pulse.
  • the backing layers comprise a multilayer backing layer structure which allows easy design of the effective stiffness, thickness and acoustic impedance of the backing structure in order to improve the propagation of the acoustic waves.
  • the piezoelectric element in step B) generates the acoustic waves. It has a standard transducer architecture of metal layer, piezoelectric material, metal layer.
  • the thickness of the metal electrodes, such as copper or aluminium is between 100 and 200 nanometers.
  • the metal electrodes may be formed from metal doped conductive polymers, such as PEDOT/PSS.
  • the choice of the metal layer is such that the adherence to the piezoelectric material is adequate and that the stiffness of the metal is comparable to the stiffness of the piezoelectric material, in which case the acoustic losses are minimized.
  • the piezoelectric material may be Aluminium Nitride, having a thickness of around 500 nm.
  • the piezoelectric material may be a polymer based piezoelectric material, such as polyvinylidene difluoride, having a thickness of around 100 nm.
  • the material filing the trenches formed in step C) is a high acoustic impedance material.
  • the trenches may be left un-filled (air gaps) such that the acoustic cross-talk between elements is minimized.
  • the multilayer impedance matching layers deposited in step E) enable the transmission of the acoustic waves between the sensor and element 524 in figure 5.
  • the design of the multilayer impedance matching layers may be such that the acoustic impedance varies gradually from the piezoelectric material towards the element 524 of figure 5.
  • Multiple layers of the impedance matching structure allows control of the elastic properties of the device. Tuning of the bandwidth of the acoustic waves may be control by tuning the thicknesses of the layers forming the impedance matching structure.
  • the matching structure may have a concave curvature.
  • the matching structure may have a triangular dent. Such dent may act as an acoustic lens or as an apodization element. Design of dents in the matching structure decreases the possible cross talk between the individual generating piezoelectric elements formed by the trenches formed in step C).
  • transducer element comprising a backing structure and an impedance matching structure, wherein the backing structure comprises a multilayer structure or wherein the impedance matching structure comprises a multilayer structure.
  • a scatterometer comprising:
  • an acoustic source operable to project acoustic radiation onto a periodic structure formed on a substrate
  • an acoustic detector operable to detect an acoustic diffraction order diffracted by the periodic structure while discriminating from specular reflection, wherein the scatterometer is operable to determine a property of the substrate based on the detected acoustic diffraction order.
  • the scatterometer is operable to determine a structural asymmetry of the periodic structure based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the periodic structure.
  • the periodic structure comprises a grating overlaid over another grating and the scatterometer is operable to determine an overlay error based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
  • a first acoustic detector arranged to receive an acoustic diffraction order diffracted by the periodic structure
  • a second acoustic detector arranged to receive another acoustic diffraction order diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order received by the first acoustic detector.
  • a second acoustic source arranged to project second acoustic radiation onto a periodic structure with a second angle of incidence.
  • the acoustic source is operable to project continuous wave acoustic radiation onto the periodic structure while the acoustic detector is operable to detect the acoustic diffraction order diffracted by the periodic structure.
  • a method of scatterometry comprising:

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Acoustics & Sound (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A transducer element comprising a backing structure and an impedance matching structure. The backing structure comprises a multilayer structure. The impedance matching structure comprises a multilayer structure. A method to fabricate a transducer element comprising forming a backing structure, forming a piezoelectric element and forming an impedance matching structure.

Description

SCATTEROMETER AND METHOD OF SCATTEROMETRY USING ACOUSTIC RADIATION
FIELD
[0001] The present disclosure relates to a scatterometer and method of scatterometry usable, for example, in the manufacture of devices by lithographic techniques.
BACKGROUND
[0002] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).
[0003] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
[0004] Low-kl lithography may be used to process features with dimensions smaller than the classical resolution limit of a lithographic apparatus. In such process, the resolution formula may be expressed as CD = k 1 cl/NA, where l is the wavelength of radiation employed, NA is the numerical aperture of the projection optics in the lithographic apparatus, CD is the “critical dimension” (generally the smallest feature size printed, but in this case half-pitch) and kl is an empirical resolution factor. In general, the smaller kl the more difficult it becomes to reproduce the pattern on the substrate that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine-tuning steps may be applied to the lithographic projection apparatus and/or design layout. These include, for example, but not limited to, optimization of NA, customized illumination schemes, use of phase shifting patterning devices, various optimization of the design layout such as optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as “resolution enhancement techniques” (RET). Alternatively, tight control loops for controlling a stability of the lithographic apparatus may be used to improve reproduction of the pattern at low kl.
[0005] In lithographic processes, it is desirable frequently to make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements are known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, the accuracy of alignment of two layers in a device. Recently, various forms of optical scatterometers have been developed for use in the lithographic field. These devices direct a beam of electromagnetic radiation onto a target and measure one or more properties of the scattered electromagnetic radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a diffraction “spectrum” from which a property of interest of the target can be determined.
[0006] There are limitations in the performance of optical scatterometers. For example, for controlling the manufacture of semiconductor devices such as 3D XPoint non-volatile memory and 3D NAND, it is difficult or impossible to measure overlay through opaque mask layers that separate the overlaid upper pattern from the lower pattern. The opaque layers may be metal layers of several 10s of nm in thickness and carbon hardmasks of several pm in thickness. Metrology using optical scatterometers is challenging as the masks employed are barely transmissive for electromagnetic radiation, with the extreme case being metal masks, where electromagnetic radiation is absorbed and does not go through the metal mask at all.
SUMMARY
[0007] It is desirable to have an alternative to optical scatterometry, comprising acoustic transducers operating around and above 1 GHz. According to a first aspect of the present invention, there is provided a transducer element comprising a backing structure and an impedance matching structure. According to a second aspect of the present invention, there is provided a method to fabricate a transducer element comprising forming a backing structure, forming a piezoelectric element and forming an impedance matching structure.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:
Figure 1 depicts a schematic overview of a lithographic apparatus;
Figure 2 depicts a schematic overview of a lithographic cell;
Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing;
Figure 4 depicts, in schematic form, different configurations of acoustic transducers that may be used in embodiments of the present invention; Figure 5 depicts, in schematic form, a scatterometer in accordance with an embodiment of the present invention;
Figures 6 and 7 depict a simulated pressure distribution for a symmetrical structure and an asymmetrical structure respectively;
Figure 8 depicts, in schematic form, a simplified model to explain signal formation for conventional optical diffraction-based overlay;
Figure 9 is a graph of a conventional optical swing curve;
Figure 10 depicts, in schematic form a simplified model to explain signal formation for acoustic diffraction-based overlay;
Figure 11 is a graph of an acoustic swing curve;
Figure 12 depicts, in schematic form, an acoustic scatterometer with three acoustic transducers including a central acoustic source, in accordance with an embodiment of the present invention;
Figure 13 depicts, in schematic form, an acoustic scatterometer implemented with a phased array of transducers, in accordance with an embodiment of the present invention;
Figures 14a and 14b depict, in schematic form, an acoustic scatterometer with three acoustic transducers including a central acoustic detector, in accordance with an embodiment of the present invention;
Figures 15a and 15b depict, in schematic form, an acoustic scatterometer with two transducers operating as transceivers, in accordance with an embodiment of the present invention;
Figures 16a and 16b depict, in schematic form, an acoustic scatterometer with one transducer operating as a transceiver, in accordance with an embodiment of the present invention;
Figure 17 depicts a method in accordance with an embodiment of the present invention; and
Figure 18 depicts a method including projecting acoustic radiation at different times and with first and second angles of incidence, in accordance with an embodiment of the present invention.
DETAILED DESCRIPTION
[0009] Figure 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition an electromagnetic radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a support structure (e.g., a mask table) T constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[0010] In operation, the illuminator IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
[0011] The term “projection system” PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
[0012] The lithographic apparatus may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate - which is also referred to as immersion lithography. More information on immersion techniques is given in U. S. Patent No. 6,952,253 and in PCT publication No. WO99-49504, which are incorporated herein by reference.
[0013] The lithographic apparatus LA may also be of a type having two (dual stage) or more substrate tables WT and, for example, two or more support structure T (not shown). In such “multiple stage” machines the additional tables / structures may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposing the design layout of the patterning device MA onto the substrate W.
[0014] In operation, the radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table T), and is patterned by the patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and possibly another position sensor (which is not explicitly depicted in Figure 1) may be used to accurately position the mask MA with respect to the path of the radiation beam B. Mask MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
[0015] As shown in Figure 2 the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers. A substrate handler, or robot, RO picks up substrates W from input/output ports I/Ol, 1/02, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA. The devices in the lithocell, which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
[0016] In order for the substrates W exposed by the lithographic apparatus LA to be exposed correctly and consistently, it is desirable to inspect substrates to measure properties of patterned structures, such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc. For this purpose, one or more inspection tool MT may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
[0017] An inspection apparatus, which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer. The inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device. The inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
[0018] Typically the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W. To ensure this high accuracy, three systems may be combined in a so called “holistic” control environment as schematically depicted in Fig. 3. One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system). The key of such “holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window. The process window defines a range of process parameters (e.g. dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.
[0019] The computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SCI). Typically, the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA. The computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MT) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing “0” in the second scale SC2).
[0020] The metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 in the third scale SC3). [0021] In lithographic processes, it is desirable to make frequently measurements of the structures created, e.g., for process control and verification. Tools to make such measurement are typically called metrology tools MT. Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of optical scatterometer metrology tools MT. Optical scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements. Such scatterometers and the associated measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 or EP1,628,164A, incorporated herein by reference in their entirety. Aforementioned scatterometers may measure gratings using light from soft x-ray, visible light to near-IR wavelength range.
[0022] The scatterometer MT may be an angular resolved scatterometer. In such a scatterometer reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating. Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
[0023] Alternatively, the scatterometer MT may be a spectroscopic scatterometer MT. In such spectroscopic scatterometer MT, the radiation emitted by a radiation source is directed onto the target and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.
[0024] Alternatively, the scatterometer MT may be an ellipsometric scatterometer. The ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states. Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus. A source suitable for the metrology apparatus may provide polarized radiation as well. Various embodiments of existing ellipsometric scatterometers are described in US patent applications 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 and 13/891,410 incorporated herein by reference in their entirety.
[0025] A metrology target may be an ensemble of composite gratings, formed by a lithographic process, mostly in resist, but also after etch process for example. Typically the pitch and line-width of the structures in the gratings strongly depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets. The targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller than the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time.
[0026] Overall measurement quality of a lithographic parameter using a specific target is at least partially determined by the measurement recipe used to measure this lithographic parameter. The term “substrate measurement recipe” may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both. For example, if the measurement used in a substrate measurement recipe is a diffraction-based optical measurement, one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc. One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and US patent application US 2016-0370717, incorporated herein by reference in their entirety.
[0027] In embodiments of the present invention, an acoustic scatterometer may be used to replace the optical scatterometer and its function in the lithographic cell of Figure 2 or control environment of Figure 3.
[0028] In the following disclosure, the term “radiation” is used to encompass all types of acoustic radiation, including ultrasonic radiation.
[0029] In embodiments of the present invention, overlay between overlapping gratings is measured as an intensity asymmetry between diffracted acoustic orders. Embodiments of the present invention may be used to implement diffraction-based acoustic metrology, wherein periodic structure asymmetry or overlay is determined from the asymmetry between acoustic diffracted orders.
[0030] The all-acoustic overlay measurement may be performed by detecting the scattered acoustic pressure distribution when two gratings or structures placed on top of each other are excited by an acoustic wave. Since acoustic waves also obey the wave equation (Section 4, below), similar diffraction phenomena can be observed. The diffracted acoustic wave will also have an asymmetric distribution in the higher orders, should an overlay between gratings be present. This can be detected by single transducers or by an array of 2D (two-dimensional) transducers. Today’s technology allows fabrication of 2D acoustic detectors in the tens of micron range.
[0031] Besides intensity data, phase data can be included in the measurements. In acoustics one can detect the actual phase of the sound waves if the frequencies are in the MHz -GHz range. For frequencies above GHz where digital acquisition is no longer possible, phase retrieval is required.
[0032] Figure 4 depicts, in schematic form, different configurations of acoustic transducers that may be used in embodiments of the present invention. A curved piezo element 402 is shaped to produce a focus of acoustic radiation 404. A phased-array piezo element 406 uses electronic delays between activation of discrete sub-elements to achieve electronic focusing at and detection from a focal point 408. An acoustic lens 410 has a piezo element 412 and a shaped component 414 to achieve a focus 416.
[0033] Acoustic microscopy uses very high or ultra-high-frequency ultrasound to image structures. Ultrasound is defined as a sound having a frequency above 20 kHz. Acoustic microscopes may operate with ultrasound ranging from ranging from 5 MHz to beyond 400 MHz, and as high as 2 to 5 GHz. Acoustic microscopes are non-destructive. The acoustic radiation penetrates solid materials and the reflection and transmission properties of the ultrasonic radiation are used to detect cracks, voids, delamination and other defects within solid samples.
[0034] Ultrasound at low frequencies penetrates deeper into materials compared to ultrasound at high frequencies. Semiconductor devices are typically fabricated in layers on a substrate, therefore high- frequency ultrasound, which does not penetrate deeply, is suitable for imaging defects in semiconductor devices. For measurement with embodiments of the present invention, periodic structures such as overlaid gratings may be very close to and at the surface of the substrate. Therefore the acoustic radiation used in accordance with such embodiments of the present invention may use ultra-high frequency ultrasound that does not penetrate very deeply.
[0035] Figure 5 depicts an acoustic scatterometer 502. The scatterometer has an acoustic source 520 operable to project acoustic radiation 526 onto a periodic structure 538 and 540 formed on a substrate 536. The acoustic source is controlled by a processing unit 506, which outputs a control signal 512 to control acoustic projection by the acoustic source 520. An acoustic detector 518 is operable to detect the -1st acoustic diffraction order 528 diffracted by the periodic structure 538 and 540 while discriminating from specular reflection (0th order 532). Another acoustic detector 522 is operable to detect the -i-lst acoustic diffraction order 530 diffracted by the periodic structure, again while discriminating from the specular reflection (0th order 532). The acoustic source and acoustic detector may be piezo transducers as described with reference to Figure 4. In the Figures, acoustic sources are labelled “Tx” as in “transmit” and detectors are labelled “Rx” as in “receive”.
[0036] The angle of incidence of the projected acoustic radiation 526 and location of the detectors 518 and 522 are arranged with respect to the periodic structure 538 and 540 such that the detection of the -1st and -i-lst acoustic diffraction orders 528 and 530 discriminates from the 0th order specular reflection 532. In the examples described herein, the periodic structure has a direction of periodicity perpendicular to the lines of the grating, i.e. from left to right in the cross-section depicted in Figure 5. The locations of the detectors are distributed along that direction, i.e. from left to right.
[0037] A couplant system provides a couplant 524 to facilitate transmission of the acoustic radiation between the acoustic source 520 and the acoustic detectors 518, 522 via the periodic structure 528 and 530. A couplant system similar to one used in known immersion techniques, as mentioned above, may be used. A suitable couplant is distilled water.
[0038] The scatterometer 502 is operable to determine a property (in this example overlay error, OV) 504 of the substrate based on the detected acoustic diffraction orders 528, 530. The scatterometer 502 is operable to determine the property 504 of the substrate based on at least one of amplitude, phase and direction of one or more of the detected acoustic diffraction orders 528, 530. The property of the substrate may comprises a physical property of the periodic structure, such as CD or overlay.
[0039] In this example, the scatterometer 502 is operable to determine a structural asymmetry 504 of the periodic structure 538 and 540 based on asymmetry of corresponding opposite detected (-1st 528 and -i-lst 530) acoustic diffraction orders diffracted by the periodic structure 538 and 540. The acoustic detector 518 outputs an intensity signal L\ 514 which is a measure of the amplitude of the -1st acoustic diffraction order 514. The acoustic detector 522 outputs an intensity signal I+\ 516 which is a measure of the amplitude of the +lst acoustic diffraction order 530. In other examples, the detectors may be configured to detect phase or direction of the diffracted acoustic orders.
[0040] Although overlay may be extracted from the asymmetry between the corresponding opposite acoustic diffraction orders, overlay is just one of the parameters which can affect the structural asymmetry. Various features of a grating or differences between one or more gratings may result in an acoustic diffraction order asymmetry. The features or differences may be physical shape differences such as sidewall angle, floor tilt or top tilt. The features or differences may be process-induced by variation of process parameters related to pitch, focus, or dose for example. Measurement of these process parameters through the asymmetry of the acoustic diffraction orders allows control of the process to mitigate the process- induced variation. The grating or gratings may be one-dimensional (e.g. a set of parallel lines) or two- dimensional (e.g. an array of dots).
[0041] Although in the examples described herein, with reference to Figures 5 and 12 to 18, opposite acoustic diffraction orders are first orders, other orders may be used, for example the second or third order. Orders may be combined together, for example, the opposite diffraction orders may be -1st and -2nd on the one hand and -i-lst and +2nd orders on the other hand.
[0042] The periodic structure is now described in more detail. As depicted in cross-section in Figure 5, it has a grating 538 overlaid over another grating 540, separated by a layer 534. The layer 534 may be opaque to optical radiation, but transmits acoustic radiation.
[0043] The output intensity signals L\ 514 and I+\ 516 are received by a processing unit 506. The signals are subtracted by a subtraction block 510. A post-processing block 508 multiplies the subtracted delta (7-1 - 7+i) by UK to obtain the overlay error OV 504. Although in this example a digital processing unit is used, analogue circuitry may be used to determine the overlay and/or other property of the substrate. [0044] K may be determined using the following methods known from optical metrology.
[0045] A model of the structure may be used. For example, a “nominal model”, which in essence is a theoretical best guess of what the target or device structure is, may be used. This theoretical description may be determined from a full reconstruction of the structure using Maxwell’s equation, or from SEM measurements. The response of the structure for the particular wavelength or other illumination conditions is simulated, and K is determined. In this way, the proportionality constant K can be determined by computing the overlay sensitivity (K = d//dOV) for the nominal stack. Here ‘nominal stack’ represents the best available knowledge about the actual stack of layers in the semiconductor process that are used to fabricate the structure. [0046] Another option is to determine K experimentally via set/get experiments. Various induced overlay values are fabricated. A multitude of targets with programmed overlays are printed (set wafers) and overlay sensitivity K is measured on the wafers (intensity variation as a function of overlay).
[0047] Again as known from optical overlay metrology, +/- d biased targets may be used to determine K, where d is a deliberate overlay offset. This leads to two equations where K and overlay OV are unknown, and asymmetry of intensity and d (bias) are known. K may be determined using these biased targets. One can also measure overlay OV directly without knowing K.
[0048] In the example of Figure 5, the asymmetry of amplitude is used, by subtracting intensity signals from the acoustic detectors, as follows. In other embodiments, the acoustic direction may be detected or angular direction may be detected and used to determine the overlay and/or other property of the substrate. At this frequency range phase is still measurable with transducers. The acoustic direction can be deduced by a different propagation path which can be measured by comparing the phase of the incoming pulse with respect to the received echo pulse.
[0049] The alternatives (including various measured parameters, substrate properties and diffraction orders) discussed with reference Figure 5 above also apply to the other examples described herein, with reference to Figures 12 to 18.
[0050] Figures 6 and 7 depict a simulated pressure distribution for a symmetrical structure 602 and an asymmetrical structure 702 respectively. These are the results for simulations for a realistic overlapping grating (using the K-wave toolbox for MATLAB™), wherein one can identify that an overlay error between the grating manifests itself as an asymmetry 704 in the diffracted acoustic wavefront, compared to the symmetrical case 604. These particular simulations are in transmission, but a similar effect is encountered in reflection as well.
[0051] 1. Summary
[0052] In Sections 2 to 8 below, a more rigorous approach is described to quantify the asymmetry between diffracted acoustic orders in the case that overlay is present.
[0053] In summary, the findings are:
Overlay creates a diffracted orders asymmetry;
All diffracted acoustic waves from an overlapping grating will be characterized by two propagation velocities in the material, corresponding to compression and shear waves - thus we will have three interfering waves in the signal formation model for the first (or higher) orders. The overlay signal is shown to be proportional to the intensity difference between the diffracted orders;
The overlay sensitivity depends on two sine waves with different frequencies; and In order to estimate the sensitivity DI/OV an estimate of the reflection coefficient is needed. An alternative is to assume 10% reflection from each grating, making the overlay signal of the order of 1% (1x102) from the input signal.
[0054] 2. Introduction
[0055] The purpose of the following is to derive an acoustic equivalent of the simplified signal formation model in optical diffraction-based overlay (DBO) measurements. Sections 3-5 contain a minimum of required theory in order to arrive at plane-wave solutions for an acoustic scattering problem. Section 6 describes the classical signal formation model for optical DBO measurements. Finally, Section 7 uses the theory from Sections 3-5 in order to derive a similar model for acoustics. The main difference with respect to the optics model is the presence in solid materials of two waves travelling with different velocities. The consequence is a slightly more complex ’swing curve’ for the overlay sensitivity.
[0056] 3. Acoustic wave equation
[0057] The acoustic wave equation in homogeneous materials is given by pdtt u = (zl + 2/ )V(V -u) -//Vx(Vxu). (1) where u = \ux,uy,uz]T is the displacement vector, A > 0 is Lame’s first parameter, / > 0 is Lame’s second parameter (shear modulus), p > 0 is the material density. The shear modulus is zero for fluids and positive for solid materials. Note that the above equation is derived under the assumption of homogeneous and isotropic materials.
[0058] 4. Compression waves and shear waves
[0059] The displacement u is often expressed in terms of the compression wave (or P-wave) scalar potential p and shear wave (or S-wave) vector potential s . The reason for this particular naming will become obvious in the next section. We will use the Helmholtz decomposition theorem u = up + us = V/7 + Vxs, V -s = 0. (2) [0060] Note that
Vx Vp = 0, (3) V- (Vxs) = 0. (4)
[0061] Substitute (2) in (1),
Figure imgf000014_0001
where in the last step we have used the fact that V-(V/?) = V2/?, (5)
-Vx(VxVxs) = V2(Vxs). (6)
[0062] Grouping the terms together we obtain
Figure imgf000015_0001
[0063] The left-hand side is the gradient of a function of p , while the right-hand side is the curl of a function of s . As these sides are always equal for all t and x, they must be equal to some constant, which we can take as zero. Thus, the Helmholtz decomposition has enabled us to separate the elastodynamic equation of motion for an isotropic medium into two differential equations: fittP = (l + 2m)n2r, (7) pdts = /V2S. (8)
[0064] These are wave equations with phase velocities. Note that the shear wave always travels slower than the compression wave, cs < cp . In the time-harmonic case, the wave equation becomes a Helmholtz equation. In optics, the Helmholtz equation is the starting point for the scalar theory of diffraction and other simplified models. This implies that similar models can be used in acoustics.
[0065] 5. Plane wave solutions
[0066] In this section we will look at plane wave solutions of (7)-(8) in order to understand their properties. Given a wave equation
Figure imgf000015_0002
its general plane-wave solution is given by w(x,t) - re i(kx± a\ (10) with
Figure imgf000015_0003
[0067] A plane-wave solution to the scalar wave equation for elastic media (7) is given by p(x,t i(k-X±<Mt) ) - ae (12)
[0068] To obtain the displacement field due to the scalar potential p , we apply the gradient
Figure imgf000015_0004
[0069] This is a harmonic displacement disturbance with all displacement in the propagation direction k and is therefore referred to as compression wave or P-wave. [0070] A plane-wave solution to the vector wave equation for elastic media (8) is given by
Figure imgf000016_0001
[0071] To obtain the displacement field due to the vector potential s , we apply the curl
Figure imgf000016_0004
[0072] This is a harmonic displacement disturbance with all displacement perpendicular to the propagation direction k and is therefore referred to as shear wave or S-wave. To check the orthogonality with respect to k , take the inner product,
Figure imgf000016_0002
[0073] 6. Existing signal formation model for electromagnetic DBO
[0074] Figure 8 depicts, in schematic form, a simplified model to explain signal formation for conventional optical diffraction-based overlay.
[0075] We consider the configuration from Figure 8, where a plane-wave 826 illuminates an overlay target 834 comprising two ’overlayed’ gratings 838 and 840 separated by a layer with thickness T. Under the assumption of single scattering (Born approximation, low optical contrast) the intensity of the first orders is given by
/+1 =1 Aeia +Beip I2
Figure imgf000016_0003
[0076] The overlay is extracted from the intensity difference
Figure imgf000017_0001
= 2/¾(A£*)(cos(C - ?)-cos(C -l- b )) = 4Re(AB*) sin(df) sin( ?).
[0077] Substituting the values for , b from Figure 8,
OV a- 2p (17) P ’
T_ b~4p T (18) yields
Figure imgf000017_0002
[0078] The overlay sensitivity is then given by
Figure imgf000017_0003
[0079] Figure 9 is a graph of a conventional optical swing curve. Figure 9 shows a qualitative swing curve, where we assumed
9ί[Ab*] = 10-2, (19) P = 1 mhi, (20)
T = 0.2 mhi. (21)
[0080] Note that it is customary to assume the reflection coefficients to be constant when plotting a qualitative swing curve. In reality these coefficients are wavelength-dependent and may have a significant impact on the final shape of the actual swing curve.
[0081] 7. Proposed signal formation model for an acoustic DBO
[0082] Figure 10 depicts, in schematic form a simplified model to explain signal formation for acoustic diffraction-based overlay. We consider the configuration from Figure 10, where an acoustic plane-wave 1026 impinges on an overlay target 1035 comprising two ’overlayed’ gratings 1038 and 1040. The superstrate couplant material 1024 is fluid (gas or liquid) with m = 0 and the material between the gratings
1034 is a solid with m > 0 and thickness T. Note that the choice of materials is critical as m > 0 implies the presence of shear waves (next to compression waves). Thus, in the fluid-solid situation there are only compression waves in the fluid and both compression and shear waves in the solid. If the superstrate is replaced by a solid, or a very high-viscosity shear-wave couplant, a shear wave would need to be taken into account in the superstate as well.
[0083] Under the assumption of single scattering (Born approximation, low acoustic contrast) the intensity of the first orders is given by
/+1 =1 Aeia +Bbίb +Ceir I2
Figure imgf000018_0001
[0084] The overlay is extracted from the intensity difference
Figure imgf000018_0002
[0085] Substituting the values for a, b, g from Figure 3, a- 2p ov (22)
P
Figure imgf000019_0001
g~ 4.p — T
(24)
K yields
Figure imgf000019_0002
[0086] The overlay sensitivity is then given by
Figure imgf000019_0003
[0087] Interestingly, the ’swing curve’ for acoustic overlay measurement consists of two sines with different frequencies.
[0088] 8. Estimates
[0089] Table 1 lists the material properties relevant for acoustic waves. The first Lame coefficient l is obtained from Young’s modulus
Figure imgf000019_0004
or from the bulk modulus l = K--m.
3
Table 1: Material properties relevant for acoustic waves.
Figure imgf000019_0005
[0090] Assuming a wavelength in air L = OAmhi, the angular frequency is given by 9.32425 tfV1.
Figure imgf000020_0001
[0091] Velocity of P and S waves in silicon
Figure imgf000020_0002
[0092] Wavelength of P and S waves in silicon 0.56 mth, (27) 0.39 mpi. (28)
Figure imgf000020_0003
[0093] Figure 11 is a graph of an acoustic swing curve. Figure 11 shows a qualitative swing curve, where we assumed
9ί[Ab*] = 10 2, (29)
9i[AC*] = 10 2, (30)
P = \mhi, (31)
T = 0.2 mhi. (32)
[0094] As for the optical case, we assumed the reflection coefficients to be constant when plotting a qualitative acoustic swing curve. In reality these coefficients are wavelength-dependent and may have a significant impact on the final shape of the actual swing curve.
[0095] The modulus of the overlay sensitivity can be estimated for a best case scenario as follows
Figure imgf000020_0004
[0096] The values A, B, C can be determined with a rigorous acoustic model.
[0097] Figure 12 depicts an acoustic scatterometer with three acoustic transducers and a central acoustic source. This is the same configuration as depicted in Figure 5. It is reproduced here to depict the elements, which have the same reference numerals (beginning with 12), that are found in other examples depicted in Figures 13 to 16.
[0098] With reference to Figure 12, the scatterometer has an acoustic source 1220 operable to project acoustic radiation 1226 onto a periodic structure 1238 formed on a substrate 1234. An acoustic detector 1218 is operable to detect the -1st acoustic diffraction order 1228 diffracted by the periodic structure 1238 while discriminating from specular reflection (0th order 1232). Another acoustic detector 1222 is operable to detect the -i-lst acoustic diffraction order 1230 diffracted by the periodic structure, again while discriminating from the specular reflection (0th order 1232). The acoustic source and acoustic detector may be piezo transducers as described with reference to Figure 4.
[0099] The angle of incidence of the projected acoustic radiation 1226 and location of the detectors 1218 and 1222 are arranged with respect to the periodic structure 1238 such that the detection of the -1st and -i-lst acoustic diffraction orders 1228 and 1230 discriminates from the 0th order specular reflection 1232. The angle of incidence and positions may be determined by simulation and/or experimentation and depend on the pitch of the gratings and wavelength of the acoustic radiation.
[0100] A couplant system provides a couplant 1224 to facilitate transmission of the acoustic radiation between the acoustic source 1220 and the acoustic detectors 1218, 1222 via the periodic structure 1238. [0101] The acoustic detector 1218 outputs an intensity signal L\ 1214 which is a measure of the -1st acoustic diffraction order 1228. The acoustic detector 1222 outputs an intensity signal I+\ 1216 which is a measure of the -i-lst acoustic diffraction order 1230.
[0102] The output intensity signals L\ 1214 and I+\ 1216 are received by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5.
[0103] Figure 13 depicts an acoustic scatterometer implemented with a phased array of transducers. The sub-elements of the phased array are split into three groups 1318, 1320 and 1322, acting as a central acoustic source 1320 between a pair of acoustic detectors 1318 and 1322.
[0104] The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences. Acoustic detector 1318 is arranged to receive an acoustic diffraction order 1228 diffracted by the periodic structure 1238. Acoustic detector 1322 is arranged to receive another acoustic diffraction order 1230 diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order received by the first acoustic detector 1318.
[0105] Figures 14a and 14b depict an acoustic scatterometer with three acoustic transducers. There are two acoustic sources 1418, 1422 and a central acoustic detector 1420. The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences. [0106] A first acoustic source 1418 is arranged to project (Figure 14a) first acoustic radiation 1426 onto a periodic structure 1238 with a first angle of incidence. A second acoustic source 1422 is arranged to project (Figure 14b) second acoustic radiation 1480 onto a periodic structure 1238 with a second angle of incidence.
[0107] In some examples (not shown) the first and second angles of incidence need not be equal. A detector with a wide acceptance angle may be used, or even separate detectors to detect diffraction orders from the first and second acoustic sources. Thus there may be four or more transducers, for example two acoustic sources and two acting as acoustic detectors.
[0108] In this example, the first and second acoustic sources are configurable to project their acoustic radiation at different times t=to (Figure 14a) and t=ti (Figure 14b). The acoustic radiation is projected at the different times with first and second angles of incidence selected such that an acoustic detector 1420 is configurable to separately detect +lst (Figure 14a) and -1st (Figure 14b) acoustic diffraction orders.
[0109] In Figure 14a, the acoustic detector 1420 is configured to detect the -i-lst diffraction order 1426, which has been diffracted by the periodic structure 1238 and arising from irradiation 1428 by the first acoustic source 1418. The acoustic detector 1420 outputs an intensity signal I+\ 1416 which is a measure of the +1 st acoustic diffraction order 1426.
[0110] In Figure 14b, the acoustic detector 1420 is configured to detect another acoustic diffraction order 1476, which has been diffracted by the periodic structure 1238 and arises from irradiation 1480 by the second acoustic source 1422. Diffraction order 1476 is opposite (-1st order) with respect to the acoustic diffraction order 1426 (+lst order) arising from irradiation 1428 by the first acoustic source 1418. The acoustic detector 1420 outputs an intensity signal L\ 1464 which is a measure of the -1st acoustic diffraction order 1476.
[0111] The output intensity signals L\ 1464 and I+\ 1416 are received by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5.
[0112] The acoustic source in the examples depicted in Figures 5, 12, 13 and 14 are operable to project continuous wave acoustic radiation onto the periodic structure while the acoustic detector is operable to simultaneously detect the acoustic diffraction order diffracted by the periodic structure. This is allowed because the acoustic source and acoustic detector are not the same transducer.
[0113] Figures 15a and 15b depict an acoustic scatterometer with two transducers operating as transceivers. The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences. An acoustic transceiver 1518 is configurable as the acoustic source (in Figure 15a) to project pulsed acoustic radiation 1526 and configurable as the acoustic detector (in Figure 15a) to detect a backscattered acoustic diffraction order 1528 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1526. The acoustic transceiver 1518 outputs an intensity signal L\ 1514 which is a measurement of the -1st acoustic diffraction order 1528. [0114] In this example with a pair of transceivers, the other acoustic transceiver 1520 is configurable as the acoustic source (in Figure 15b) to project pulsed acoustic radiation 1576 and configurable as the acoustic detector (in Figure 15b) to detect a backscattered acoustic diffraction order 1578 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1576. The acoustic transceiver 1520 outputs an intensity signal I+\ 1566 which is a measurement of the -i-lst acoustic diffraction order 1578. [0115] In this example, the acoustic transceivers 1518 and 1520 are configurable to project their acoustic radiation at different times t=to (Figure 15a) and t=ti (Figure 15b), so that the backscattered acoustic diffraction order at a transceiver can be discriminated from the specular reflection arising from the irradiation 1532, 1582 by the other transceiver.
[0116] The output intensity signals L\ 1514 and I+\ 1566 are received sequentially by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5.
[0117] Figures 16a and 16b depict an acoustic scatterometer with one transducer operating as a transceiver. The operation is the same as described with reference to Figure 12 for the elements with common reference numerals, with the following differences. An acoustic transceiver 1618 is configurable as the acoustic source (in Figure 16a) to project pulsed acoustic radiation 1626 and configurable as the acoustic detector (in Figure 16a) to detect a backscattered acoustic diffraction order 1628 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1626. The acoustic transceiver 1618 outputs an intensity signal L\ 1614 which is a measurement of the -1st acoustic diffraction order 1628. [0118] In this example with a single transceivers, the periodic structure or the detector are rotated by 180 degrees around the direction perpendicular to the direction of periodicity of the periodic structure between measurement of the opposing acoustic diffraction orders. Alternatively, the transceiver may be simply moved along another path and redirected at the target. After the rotation or movement, the transceiver 1618 is configurable as the acoustic source (in Figure 16b) to project pulsed acoustic radiation 1676 and configurable as the acoustic detector (in Figure 16b) to detect a backscattered acoustic diffraction order 1680 diffracted by the periodic structure 1238 and arising from the pulsed acoustic irradiation 1676. The acoustic transceiver 1618 outputs an intensity signal I+\ 1666 which is a measurement of the -i-lst acoustic diffraction order 1680.
[0119] The output intensity signals L\ 1614 and I+\ 1666 are received sequentially by a processing unit 1206, which operates in the same way as described with reference to processing unit 506 described with reference to Figure 5. [0120] Figure 17 depicts a method of scatterometry. This method may be implemented using the scatterometers described with reference to Figures 5, 12 and 13.
[0121] With reference to Figure 17, a method of scatterometry comprises:
[0122] 1702 (Tx): projecting acoustic radiation onto a periodic structure formed on a substrate;
[0123] 1704 (Rx): detecting an acoustic diffraction order diffracted by the periodic structure while discriminating from specular reflection; and
[0124] 1706 (CALC): determining a property of the substrate based on the detected acoustic diffraction order.
[0125] The angle of incidence of the projected acoustic radiation and location of the detector with respect to the periodic structure are arranged such that the detection of the acoustic diffraction order discriminates from specular reflection. A couplant is provided to facilitate transmission of the acoustic radiation between the acoustic source and the acoustic detector via the periodic structure.
[0126] The property of the substrate may be determined based on at least one of amplitude, phase and direction of one or more detected acoustic diffraction order. The property of the substrate may comprise a physical property of the periodic structure.
[0127] The method may comprising determining a structural asymmetry of the periodic structure based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the periodic structure.
[0128] The periodic structure may comprise a grating overlaid over another grating and the method may comprise determining an overlay error based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
[0129] The overlay error may be determined based on asymmetry of at least one of amplitude, phase and direction of the at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
[0130] Figure 18 depicts a method including projecting acoustic radiation at different times and with first and second angles of incidence, in accordance with an embodiment of the present invention. This method may be implemented using the scatterometers described with reference to Figures 14 to 16, and could even be implemented using the scatterometers described with reference to Figures 5, 12 and 13. [0131] With reference to Figure 18, acoustic radiation may be projected at different times 1802 (Txl), 1806 (Tx2) and with respective first and second angles of incidence selected to separately detect:
[0132] 1804 (Rxl): an acoustic diffraction order (e.g. +1) diffracted by the periodic structure and arising from irradiation at the first angle of incidence; and [0133] 1808 (Rx2): another acoustic diffraction order (e.g. -1) diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order (e.g. +1) arising from irradiation at the first angle of incidence.
[0134] At step 1808 (CALC) a property of the substrate is determined based on the detected acoustic diffraction order.
[0135] The methods of Figures 17 and 18 may comprise projecting continuous wave acoustic radiation onto the periodic structure while detecting the acoustic diffraction order diffracted by the periodic structure. [0136] The methods of Figures 17 and 18 may comprise projecting pulsed acoustic radiation and detecting a backscattered acoustic diffraction order diffracted by the periodic structure and arising from the projection of the pulsed acoustic irradiation.
[0137] Embodiments of the present invention provide a non-destructive and fast way to measure properties of periodic structures.
[0138] In a further embodiment of the present invention, a measurement of only the zeroth order or the specular reflected light may be used to provide a measurement of a parameter of interest, in particular a parameter of interest such as overlay. In an embodiment, an acoustic polarizing element may be used. An acoustic polarizing element may be a single transducer or a an array of 2D transducers, In an example, the transducer 1220 of figure 12 may polarize the acoustic radiation in one polarizing direction and the transducers 1218 or 1222 of figure 12 may comprise an acoustic polarizing element in a direction orthogonal to the polarizing direction of transducer 1220. Such a setup is called a cross polarizing setup. When zeroth order radiation or specular radiation is used in a cross polarizing setup, a measurement of parameter of interest representative of product structures on a wafer may be measured.
[0139] Scatterometry using zeroth order electromagnetic radiation is known in the art. A parameter of interest of a lithographic process, such as overlay, may be measured by observing the asymmetry formed in the asymmetric pupil. If acoustic radiation is used, the principle of measurement remains the same, although the components involved in forming the overlay signal are different, as the physics of the acoustic radiation and the scattering thereof is different from the physics of electromagnetic radiation and the scattering thereof. For an acoustic setup, such as the one depicted in figure 12 for example, the acoustic Jones matrix may be expressed as
Figure imgf000025_0001
wherein the left side is the acoustic Jones matrix and the components of the right hand matrix are the reflection coefficients for an incident radiation with a polarization direction and outgoing radiation with a different or the same polarization direction of the acoustic radiation. In the case of acoustic radiation, the light may be polarized along the shear or compression waves direction. Thus, for acoustic metrology, one needs to consider shear polarized radiation or compression polarized radiation. The principle of measurement overlay with electromagnetic radiation apply also to the measurement of overlay with acoustic radiation, with the difference that, in the acoustic metrology setup one needs to consider 3 polarization direction, and the combination thereof, as opposed to the case of electromagnetic radiation, wherein only 2 polarization directions are needed. The setup for acoustic metrology described in previous embodiments is also applicable for measurements in an acoustic cross polarizing setup with the difference that zeroth order of the acoustic radiation is detected and not the diffracted orders of the acoustic radiation. Acoustic polarized radiation may be created with known methods, as state of the art comprises solutions to forming both shearing and compressing polarized acoustic radiation.
[0140] Scatterometers in accordance with embodiments of the present invention may be used where optical scatterometers are not suitable. Alternatively, scatterometers in accordance with embodiments of the present invention may be used to complement an optical scatterometer in an inspection apparatus. [0141] A suitable resolution of the acoustic metrology tool of figure 5 is in the nanometer range, for example 100 nanometer. Such resolution may be achieve by having the acoustic metrology tool operating in GHz regime, for example around and above 1 GHz. A simple layout of an acoustic transducer is a piezoelectric material which has metal electrodes. From the state of the art it is known that a piezoelectric material deposited directly on a Silicon wafer causes high losses of the acoustic signal due to the impedance mismatch between the silicon wafer and the medium between the acoustic lens, such as element 524 of figure 5. It is therefore desirable to manufacture transducer element which may operate in the GHz frequency regimes and which offer a rather lossless impedance matching.
[0142] It is therefore proposed a method to manufacture an acoustic element, such a transducer, comprising:
A) deposition on a bare silicon wafer of backing layers;
B) deposition of a first metal layer, an acoustic material and a second metal layer, such that an piezoelectric element is formed;
C) etching of the piezoelectric element;
D) filing the trenches formed in step C);
E) deposition of matching layers.
[0143] The backing layers of step A) are stiff materials, which have also the role of damping the oscillations emitted by the piezoelectric element. The advantage is a reduction in the reverberation of the piezoelectric element and the stabilization of the ultrasonic pulse. In an embodiment the backing layers comprise a multilayer backing layer structure which allows easy design of the effective stiffness, thickness and acoustic impedance of the backing structure in order to improve the propagation of the acoustic waves. [0144] The piezoelectric element in step B) generates the acoustic waves. It has a standard transducer architecture of metal layer, piezoelectric material, metal layer. The thickness of the metal electrodes, such as copper or aluminium is between 100 and 200 nanometers. In another example, the metal electrodes may be formed from metal doped conductive polymers, such as PEDOT/PSS. The choice of the metal layer is such that the adherence to the piezoelectric material is adequate and that the stiffness of the metal is comparable to the stiffness of the piezoelectric material, in which case the acoustic losses are minimized. The piezoelectric material may be Aluminium Nitride, having a thickness of around 500 nm. The piezoelectric material may be a polymer based piezoelectric material, such as polyvinylidene difluoride, having a thickness of around 100 nm.
[0145] The material filing the trenches formed in step C) is a high acoustic impedance material. In an embodiment, the trenches may be left un-filled (air gaps) such that the acoustic cross-talk between elements is minimized.
[0146] The multilayer impedance matching layers deposited in step E) enable the transmission of the acoustic waves between the sensor and element 524 in figure 5. The design of the multilayer impedance matching layers may be such that the acoustic impedance varies gradually from the piezoelectric material towards the element 524 of figure 5. Multiple layers of the impedance matching structure allows control of the elastic properties of the device. Tuning of the bandwidth of the acoustic waves may be control by tuning the thicknesses of the layers forming the impedance matching structure. In an embodiment, the matching structure may have a concave curvature. In an embodiment, the matching structure may have a triangular dent. Such dent may act as an acoustic lens or as an apodization element. Design of dents in the matching structure decreases the possible cross talk between the individual generating piezoelectric elements formed by the trenches formed in step C).
[0147] It is proposed a transducer element comprising a backing structure and an impedance matching structure, wherein the backing structure comprises a multilayer structure or wherein the impedance matching structure comprises a multilayer structure.
[0148] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the scatterometer described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. [0149] Although specific reference may be made in this text to embodiments of the invention in the context of a wafer inspection apparatus, embodiments of the invention may be used in other apparatus. Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally referred to as lithographic tools.
[0150] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention, where the context allows, is not limited to optical lithography and may be used in other applications, for example imprint lithography.
[0151] Further embodiments according to the invention are further described in below numbered clauses:
1. A scatterometer comprising:
- an acoustic source operable to project acoustic radiation onto a periodic structure formed on a substrate; and
- an acoustic detector operable to detect an acoustic diffraction order diffracted by the periodic structure while discriminating from specular reflection, wherein the scatterometer is operable to determine a property of the substrate based on the detected acoustic diffraction order.
2. The scatterometer of clause 1 wherein the angle of incidence of the projected acoustic radiation and location of the detector are arranged with respect to the periodic structure such that the detection of the acoustic diffraction order discriminates from specular reflection.
3. The scatterometer of clause 1 or clause 2 further comprising a couplant system to provide a couplant to facilitate transmission of the acoustic radiation between the acoustic source and the acoustic detector via the periodic structure.
4. The scatterometer of any preceding clause wherein the scatterometer is operable to determine the property of the substrate based on at least one of amplitude, phase and direction of one or more detected acoustic diffraction order.
5. The scatterometer of any preceding clause wherein the property of the substrate comprises a physical property of the periodic structure.
6. The scatterometer of any preceding clause wherein the scatterometer is operable to determine a structural asymmetry of the periodic structure based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the periodic structure. 7. The scatterometer of any preceding clause wherein the periodic structure comprises a grating overlaid over another grating and the scatterometer is operable to determine an overlay error based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
8. The scatterometer of clause 7 wherein the overlay error is determined based on asymmetry of at least one of amplitude, phase and direction of the at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
9. The scatterometer of any preceding clause comprising:
- a first acoustic detector arranged to receive an acoustic diffraction order diffracted by the periodic structure; and
- a second acoustic detector arranged to receive another acoustic diffraction order diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order received by the first acoustic detector.
10. The scatterometer of any of preceding clause comprising:
- a first acoustic source arranged to project first acoustic radiation onto a periodic structure with a first angle of incidence; and
- a second acoustic source arranged to project second acoustic radiation onto a periodic structure with a second angle of incidence.
11. The scatterometer of clause 10 wherein the first and second acoustic sources are configurable to project their acoustic radiation at different times and with first and second angles of incidence selected such that an acoustic detector is configurable to separately detect:
- an acoustic diffraction order diffracted by the periodic structure and arising from irradiation by the first acoustic source; and
- another acoustic diffraction order diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order arising from irradiation by the first acoustic source.
12. The scatterometer of any preceding clause, wherein the acoustic source is operable to project continuous wave acoustic radiation onto the periodic structure while the acoustic detector is operable to detect the acoustic diffraction order diffracted by the periodic structure.
13. The scatterometer of any of clauses 1 to 11 comprising an acoustic transceiver configurable as the acoustic source to project pulsed acoustic radiation and configurable as the acoustic detector to detect a backscattered acoustic diffraction order diffracted by the periodic structure and arising from the pulsed acoustic irradiation.
14. A method of scatterometry comprising:
- projecting acoustic radiation onto a periodic structure formed on a substrate;
- detecting an acoustic diffraction order diffracted by the periodic structure while discriminating from specular reflection; and - determining a property of the substrate based on the detected acoustic diffraction order.
15. The method of clause 14 comprising arranging the angle of incidence of the projected acoustic radiation and location of the detector with respect to the periodic structure such that the detection of the acoustic diffraction order discriminates from specular reflection.
16. The method of clause 14 or clause 15 further comprising providing a couplant to facilitate transmission of the acoustic radiation between the acoustic source and the acoustic detector via the periodic structure.
17. The method of any of clauses 14 to 16 comprising determining the property of the substrate based on at least one of amplitude, phase and direction of one or more detected acoustic diffraction order.
18. The method of any of clauses 14 to 17 wherein the property of the substrate comprises a physical property of the periodic structure.
19. The method of any of clauses 14 to 18 comprising determining a structural asymmetry of the periodic structure based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the periodic structure.
20. The method of any of clauses 14 to 19 wherein the periodic structure comprises a grating overlaid over another grating and the method comprises determining an overlay error based on asymmetry of at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
21. The method of clause 20 comprising determining the overlay error based on asymmetry of at least one of amplitude, phase and direction of the at least two corresponding opposite detected acoustic diffraction orders diffracted by the gratings.
22. The method of any of clauses 14 to 21 comprising projecting acoustic radiation at different times and with first and second angles of incidence selected to separately detect:
- an acoustic diffraction order diffracted by the periodic structure and arising from irradiation at the first angle of incidence; and
- another acoustic diffraction order diffracted by the periodic structure that is opposite with respect to the acoustic diffraction order arising from irradiation at the first angle of incidence.
23. The method of any of clauses 14 to 21 comprising projecting continuous wave acoustic radiation onto the periodic structure while detecting the acoustic diffraction order diffracted by the periodic structure.
24. The method of any of clauses 14 to 22 comprising projecting pulsed acoustic radiation and detecting a backscattered acoustic diffraction order diffracted by the periodic structure and arising from the projection of the pulsed acoustic irradiation.
[0152] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims

1. A transducer element comprising a backing structure and an impedance matching structure.
2. The transducer according to claim 1, wherein the backing structure comprises a multilayer structure.
3. The transducer according to claim 1, wherein the impedance matching structure comprises a multilayer structure.
4. A method to fabricate a transducer element comprising forming a backing structure forming a piezoelectric element forming an impedance matching structure.
PCT/EP2020/086982 2019-12-19 2020-12-18 Scatterometer and method of scatterometry using acoustic radiation WO2021123135A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP19217791.3 2019-12-19
EP19217791 2019-12-19

Publications (1)

Publication Number Publication Date
WO2021123135A1 true WO2021123135A1 (en) 2021-06-24

Family

ID=68965764

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2020/086982 WO2021123135A1 (en) 2019-12-19 2020-12-18 Scatterometer and method of scatterometry using acoustic radiation

Country Status (1)

Country Link
WO (1) WO2021123135A1 (en)

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999049504A1 (en) 1998-03-26 1999-09-30 Nikon Corporation Projection exposure method and system
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1628164A2 (en) 2004-08-16 2006-02-22 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterisation
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
US20170216887A1 (en) * 2016-01-28 2017-08-03 Samsung Medison Co., Ltd. Ultrasonic transducer and ultrasonic probe including the same
US20190113452A1 (en) * 2017-10-17 2019-04-18 Asml Netherlands B.V. Scatterometer and Method of Scatterometry Using Acoustic Radiation

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999049504A1 (en) 1998-03-26 1999-09-30 Nikon Corporation Projection exposure method and system
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1628164A2 (en) 2004-08-16 2006-02-22 ASML Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterisation
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
US20170216887A1 (en) * 2016-01-28 2017-08-03 Samsung Medison Co., Ltd. Ultrasonic transducer and ultrasonic probe including the same
US20190113452A1 (en) * 2017-10-17 2019-04-18 Asml Netherlands B.V. Scatterometer and Method of Scatterometry Using Acoustic Radiation

Similar Documents

Publication Publication Date Title
US11536654B2 (en) Scatterometer and method of scatterometry using acoustic radiation
US10670974B2 (en) Metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
TWI739103B (en) Illumination source apparatuses, methods for inspecting the same, lithographic apparatus and metrology apparatuses
US11129266B2 (en) Optical system, metrology apparatus and associated method
EP3444675A1 (en) Optical detector
CN110945436B (en) Method for parameter determination and apparatus therefor
EP3629088A1 (en) Providing a trained neural network and determining a characteristic of a physical system
EP3528048A1 (en) A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
WO2021123135A1 (en) Scatterometer and method of scatterometry using acoustic radiation
CN114651214A (en) Measuring method and measuring device
TWI840628B (en) Apparatuses and methods for measuring parameters of a substrate and metrology apparatuses including said apparatuses
JP7330279B2 (en) Reflector manufacturing method and related reflector
JP7353380B2 (en) Manufacturing of reflection gratings
NL2022635A (en) Reflector manufacturing method and associated reflector
EP3742230A1 (en) Detection apparatus for simultaneous acquisition of multiple diverse images of an object
NL2024935A (en) Assemblies and methods for guiding radiation
NL2021670A (en) Optical system, metrology apparatus and associated method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20830200

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20830200

Country of ref document: EP

Kind code of ref document: A1