WO2021099047A1 - A method of obtaining performance information about a lithography process - Google Patents

A method of obtaining performance information about a lithography process Download PDF

Info

Publication number
WO2021099047A1
WO2021099047A1 PCT/EP2020/079403 EP2020079403W WO2021099047A1 WO 2021099047 A1 WO2021099047 A1 WO 2021099047A1 EP 2020079403 W EP2020079403 W EP 2020079403W WO 2021099047 A1 WO2021099047 A1 WO 2021099047A1
Authority
WO
WIPO (PCT)
Prior art keywords
imperfections
resist
measurement process
substrate
lithography process
Prior art date
Application number
PCT/EP2020/079403
Other languages
French (fr)
Inventor
Josephus Peter VAN LIESHOUT
Ronald Frank KOX
Johan Franciscus Maria BECKERS
Jesper William Peter MATHIJSSEN
Harshil Jayantbhai LAKKAD
Inge Catharina Johanna LAMERS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2021099047A1 publication Critical patent/WO2021099047A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70675Latent image, i.e. measuring the image of the exposed resist prior to development
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Definitions

  • the present invention relates to obtaining performance information about a lithography process, particularly an immersion lithography process.
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) of a patterning device (e.g., a mask) onto a layer of radiation- sensitive material (resist) provided on a substrate (e.g., a wafer).
  • the lithographic apparatus may use electromagnetic radiation.
  • the wavelength of this radiation determines the minimum size of features which are patterned on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm.
  • EUV extreme ultraviolet
  • a liquid is confined to an immersion space by a fluid handling structure (or a liquid confinement structure).
  • the immersion space is between a final optical element of a projection system through which the pattern is imaged and the substrate onto which the pattern is transferred or a support table on which the substrate is supported.
  • the liquid may be confined to the immersion space by a fluid seal.
  • the fluid handling structure may create or use a flow of gas, for example to help in controlling the flow and/or the position of the liquid in the immersion space. The flow of gas may help form the seal to confine the liquid to the immersion space.
  • Errors in the immersion lithography process can introduce imperfections to the resist, which may ultimately cause defects in device structures formed in later processing steps. For example, immersion fluid erroneously left behind after the substrate passes under the fluid handling structure may alter resist properties locally or leave behind a residue after evaporation.
  • defectivity associated with a lithography process may be measured using a pattern defect test (PDT).
  • PDT pattern defect test
  • substrates are measured either after develop or etch on a bright- or dark-field pattern inspection tool.
  • SEM scanning electron microscope
  • a method of obtaining performance information about a lithography process comprising: a method of obtaining performance information about a lithography process, comprising: exposing a resist on a substrate using the lithography process; performing a first measurement process to detect a first set of imperfections on or in the exposed resist; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.
  • Figure 1 schematically depicts a lithography apparatus
  • Figure 2 schematically depicts a fluid handling structure for use in the lithographic apparatus
  • Figure 3 is a side cross sectional view that depicts a further liquid supply system; and [0014]
  • Figure 4 is a flow diagram depicting an example method of obtaining performance information about an immersion lithography process.
  • radiation and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm).
  • reticle may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate.
  • the term “light valve” can also be used in this context.
  • classic mask transmissive or reflective, binary, phase- shifting, hybrid, etc.
  • examples of other such patterning devices include:
  • FIG. 1 schematically depicts a lithography apparatus.
  • the apparatus includes, optionally, an illumination system (illuminator) IL configured to condition a projection beam B (e.g. UV radiation or any other suitable radiation), a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioning device PM configured to accurately position the patterning device in accordance with certain parameters.
  • the apparatus also includes a support table (e.g. a wafer table) WT or "substrate support" or “substrate table” constructed to hold a substrate (e.g.
  • the apparatus further includes a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the projection beam B by the patterning device MA onto a target portion C (e.g. including one or more dies) of the substrate W.
  • a projection system e.g. a refractive projection lens system
  • PS configured to project a pattern imparted to the projection beam B by the patterning device MA onto a target portion C (e.g. including one or more dies) of the substrate W.
  • an illuminator IL receives a radiation beam B from a radiation source SO, e.g. via a beam delivery system BD.
  • the illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and or controlling radiation.
  • the illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
  • projection system PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • the radiation beam B is incident on the patterning device MA, which is held on the support structure MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the patterning device MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the second positioning device PW and position sensor IF e.g. an interferometric device, linear encoder, 2-D encoder or capacitive sensor
  • the support table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B at a focused and aligned position.
  • a first positioner PM and another position sensor can be used to accurately position the patterning device MA with respect to the path of the radiation beam B.
  • Patterning device MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the substrate alignment marks Ml, M2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions C (these are known as scribe-lane alignment marks).
  • the lithographic apparatus further includes a control unit which controls all the movements and measurements of the various actuators and sensors described.
  • the control unit also includes signal processing and data processing capacity to implement desired calculations relevant to the operation of the lithographic apparatus.
  • the control unit will be realized as a system of many sub-units, each handling the real-time data acquisition, processing and control of a subsystem or component within the lithographic apparatus.
  • one processing subsystem may be dedicated to servo control of the second positioning device PW.
  • Separate units may handle different actuators, or different axes.
  • Another sub-unit might be dedicated to the readout of the position sensor IF.
  • Overall control of the lithographic apparatus may be controlled by a central processing unit.
  • the central processing unit may communicate with the sub-units, with operators and with other apparatuses involved in the lithographic manufacturing process.
  • Arrangements for providing liquid between a final optical element of the projection system PS and the substrate can be classed into three general categories. These are the bath type arrangement, the so-called localized immersion systems and the all-wet immersion systems.
  • a fluid handling structure 12 extends along at least a part of a boundary of an immersion space 10 between the final optical element 100 of the projection system PS and the facing surface of the stage or table facing the projection system PS.
  • the facing surface of the table is referred to as such because the table is moved during use and is rarely stationary.
  • the facing surface of the table is a surface of a substrate W, support table WT, e.g. the substrate table which surrounds the substrate W or both.
  • Figure 2 schematically depicts the fluid handling structure 12.
  • the fluid handling structure 12 extends along at least a part of a boundary of the immersion space 10 between the final optical element 100 of the projection system PS and the support table WT or substrate W.
  • a seal is formed between the fluid handling structure 12 and the surface of the substrate W/support table WT.
  • the seal may be a contactless seal such as a gas seal 16 (such a system with a gas seal is disclosed in EP 1,420, 298, which is hereby incorporated by reference in its entirety) or a liquid seal.
  • the fluid handling structure 12 is configured to supply and confine immersion fluid, e.g., liquid, to the immersion space 10.
  • Immersion fluid is brought into the immersion space 10 through one of liquid openings, e.g., opening 13a.
  • the immersion fluid may be removed through one of liquid openings, e.g., opening 13b.
  • the immersion fluid may be brought into the immersion space 10 through at least two liquid openings, e.g., opening 13a and opening 13b. Which of liquid openings is used to supply immersion fluid and optionally which is used to remove immersion liquid may depend on the direction of motion of the support table WT.
  • Immersion fluid may be contained in the immersion space 10 by the gas seal 16 which, during use, is formed between the bottom of the fluid handling structure 12 and the facing surface of the table (i.e. the surface of the substrate W and/or the surface of the support table WT).
  • the gas in the gas seal 16 is provided under pressure via gas inlet 15 to a gap between the fluid handling structure 12 and substrate W and/or support table WT.
  • the gas is extracted via a channel associated with gas outlet 14.
  • a meniscus 320 forms at a boundary of the immersion fluid.
  • Figure 3 is a side cross sectional view that depicts a further liquid supply system or fluid handling system according to an example.
  • the arrangement illustrated in Figure 3 and described below may be applied to the lithographic apparatus described above and illustrated in Figure 1.
  • the liquid supply system is provided with a fluid handling structure 12 (or a liquid confinement structure), which extends along at least a part of a boundary of the space 10 between the final element of the projection system PS and the support table WT or substrate W.
  • the fluid handling structure 12 at least partly confines the immersion liquid in the space 10 between the final element of the projection system PS and the substrate W.
  • the space 10 is at least partly formed by the fluid handling structure 12 positioned below and surrounding the final element of the projection system PS.
  • the fluid handling structure 12 comprises a main body member 53 and a porous member 83.
  • the porous member 83 is plate shaped and has a plurality of holes (i.e., openings or pores).
  • the porous member 83 is a mesh plate wherein numerous small holes 84 are formed in a mesh.
  • the main body member 53 comprises supply ports 72, which are capable of supplying the immersion liquid to the space 10, and a recovery port 73, which is capable of recovering the immersion liquid from the space 10.
  • the supply ports 72 are connected to a liquid supply apparatus 75 via passageways 74.
  • the liquid supply apparatus 75 is capable of supplying the immersion liquid to the supply ports 72 through the corresponding passageway 74.
  • the recovery port 73 is capable of recovering the immersion liquid from the space 10.
  • the recovery port 73 is connected to a liquid recovery apparatus 80 via a passageway 79.
  • the liquid recovery apparatus 80 recovers the immersion liquid recovered via the recovery port 73 through the passageway 79.
  • the porous member 83 is disposed in the recovery port 73. Performing the liquid supply operation using the supply ports 72 and the liquid recovery operation using the porous member 83 forms the space 10 between the projection system PS and the fluid handling structure 12 on one side and the substrate W on the other side.
  • defectivity associated with a lithography process can be assessed by performing a PDT.
  • This approach is, however, labour intensive and prone to human error due to the need to manually assess large numbers (e.g. 100s) of defects in an SEM.
  • An alternative approach is to use surface scatterometry (or other techniques) to examine the surface of a substrate before and after a lithography process has been applied to the substrate. Imperfections introduced by the lithography process can be identified by looking for differences between measurement results before and after the lithography process.
  • the lithography process may comprise an immersion lithography process.
  • the substrate may be uncoated (bare) or coated (e.g. with a resist) during the lithography process.
  • the technique can identify where the resist has been locally modified (e.g. to cause swelling) by immersion liquid left behind on the resist and/or where residue has been deposited by evaporation of immersion liquid.
  • the substrate may or may not be exposed by radiation (e.g.
  • a reticle pattern during the lithography process. Exposing the substrate with a reticle pattern may, however, provide a more accurate representation of how the lithography process would introduce imperfections during product manufacture and thereby provide more useful performance information about the lithography process.
  • Measuring the surface of a substrate (e.g. using surface scatterometry) before and after a lithography process is effective for identifying imperfections introduced by the lithography process and does not need manual review of SEM measurements.
  • the inventors have found that the approach significantly overestimates the number of defects that will be present after subsequent processing steps (e.g. development of the resist). This is because not all of the identified imperfections will lead to defects being present after subsequent processing steps.
  • surface inspection techniques such as surface scatterometry may not able to distinguish efficiently between different types of imperfection, such as between imperfections originating from droplets of immersion liquid and imperfections originating from particle contamination.
  • Embodiments described below provide improved and or alternative ways of obtaining performance information about a lithography process.
  • the lithography process comprises an immersion lithography process.
  • the immersion lithography process may be performed in any of the ways described above with reference to Figures 1-3 and/or using any of the apparatus described above with reference to Figures 1-3.
  • the immersion lithography process comprises exposing a resist on a substrate W through a liquid (e.g. contained in an immersion space 10 as depicted in Figures 2 and 3). The liquid is confined by a fluid handling structure 12 between a projection system PS of an immersion lithography apparatus (e.g.
  • the exposing of the resist comprises exposing a reticle pattern onto the resist.
  • the reticle pattern may be defined using a patterning device MA, as described above with reference to Figure 1.
  • the method comprises a step S 1 of coating the substrate W with a resist.
  • the substrate W may comprise a bare silicon wafer, for example, before the coating takes place.
  • step S3 the method comprises performing the lithography process on the substrate W.
  • step S4 a first measurement process is performed on the exposed resist.
  • the first measurement process detects a first set of imperfections on or in the exposed resist.
  • the first set of imperfections detected by the first measurement process comprise deviations from a nominal surface geometry (e.g. perfectly planar) of the surface of the exposed resist. Any measurement process that is capable of detecting imperfections of this nature may be used.
  • the first measurement process is performed using a surface scatterometer.
  • the first measurement process comprises directing radiation (e.g. electromagnetic radiation) onto the substrate W and detecting resulting radiation scattered from the substrate W.
  • the first measurement process comprises detecting non-zeroth order scattered radiation from the substrate W.
  • the first set of imperfections are detected (predominantly or exclusively) using the detected non-zeroth order scattered radiation. Zeroth order radiation may be blocked or discarded.
  • the first measurement process may be configured to detect change to (e.g. swelling of) and/or residue on the resist caused by liquid being left behind on the resist during the movement of the table WT under the fluid handling structure 12 (e.g. liquid that has escaped from the immersion space 10 during the movement due, for example, to imperfect sealing of the fluid handling structure 12 against the substrate W).
  • the first measurement process is additionally performed in a step S2 before the exposure of the resist using the lithography process in step S3.
  • step S5 a first comparison process is performed.
  • the first comparison process obtains the first set of imperfections by comparing a result of the first measurement process performed after the exposure (step S4) with a result of the first measurement process performed before the exposure (step S2).
  • the first set of imperfections may consist of, consist essentially of, or comprise, imperfections that are detected in step S4 but not in step S2.
  • step S6 the exposed resist is developed. The development is performed after the first measurement process has been performed in step S4. One or more further processing steps may also be performed at this stage, for example etching.
  • a second measurement process is performed on the substrate W (e.g. on the developed resist or on the substrate W after one or more further processing steps after development).
  • the second measurement process detects a second set of imperfections on or in the substrate W.
  • the second set of imperfections represents imperfections that are present after the development step (step S6) and any further processing steps that are performed before the second measurement process.
  • the second measurement process is thus applied to a substrate W that is nearer to a final product state than the first measurement process.
  • the second set of imperfections detected by the second measurement process comprise deviations of a pattern defined by the exposing of the resist (e.g. embodied within the developed resist or in a structure formed in the substrate after subsequent processing steps) from a nominal pattern (e.g.
  • the second measurement process comprises a bright-field optical inspection process.
  • the second measurement process may comprise a dark-field optical inspection process.
  • the second measurement process comprises forming an optical image of the developed resist and using the optical image to detect the second set of imperfections.
  • the second set of imperfections are detected by identifying differences between nominally identical portions of the developed resist (e.g. by comparing different instances of nominally identical units in a reticle pattern having multiple such instances).
  • step S8 a second comparison process is performed.
  • the second comparison process uses the first set of imperfections (obtained in step S5) and the second set of imperfections (obtained in step S7) to obtain performance information about the lithography process.
  • the performance information may, for example, comprise information about the nature and/or amount of imperfections which are both introduced by the lithography process (leading to surface deviations) and lead to imperfections (e.g. in a pattern) present after development of the exposed resist (and/or after subsequent processing steps).
  • the obtaining of performance information comprises determining locations where imperfections are present in both the first set of imperfections and the second set of imperfections.
  • the performance information may therefore comprise a third set of imperfections.
  • the third set of imperfections represents imperfections that are introduced by the lithography process (in step S3) and that each contribute to a corresponding imperfection present after development and or any further processing (e.g. in step S6).
  • each imperfection in the third set of imperfections may consist of an imperfection in the second set of imperfections at a location where an imperfection is also present in the first set of imperfections (or an imperfection in the first set of imperfections at a location where an imperfection is also present in the second set of imperfections).
  • the third set of imperfections may be obtained, for example, by mathematically overlaying a wafer map representing locations of imperfections in the first set of imperfections and a wafer map representing locations of imperfections in the second set of imperfections, and identifying locations where imperfections are present in both wafer maps (within a predefined tolerance).
  • the approach of the present embodiment reduces overestimation of the number of defects that will be present after subsequent processing steps (e.g. development of the resist). This is because imperfections in the first set detected in step S5 that do not have corresponding imperfections in the second set detected in step S7 will not be included in the third set of imperfections. Experiments have shown, for example, that around 33% of imperfections detected by surface scatterometry before development of the resist do not lead to any corresponding imperfections present after development.
  • the approach of the present embodiment provides a much smaller set of imperfections that is intrinsically limited to imperfections introduced by the lithography process.
  • the number of imperfections observed using bright-field inspection after development can be 20 times larger than the number of imperfections observed by surface scatterometry before development.
  • Laborious manual review of each imperfection in the third set of imperfections, for example via an SEM may not be necessary because the process intrinsically selects the most relevant imperfections.
  • the first and second measurement processes can also be performed much more quickly than SEM measurements.
  • a further step (step S9) of analysing a subset of the second set of imperfections using scanning probe microscopy is also performed.
  • scanning probe microscopy e.g. an SEM
  • the analysis by scanning probe microscopy may be limited, however, only to the subset of the second set of imperfections rather than to the whole of the second set of imperfections (i.e. the subset does not comprise the whole second set of imperfections, but rather comprises a smaller set of imperfections).
  • the subset is selected based on the performance information about the lithography process obtained using the first set of imperfections and the second set of imperfections.
  • the subset may consist of the third set of imperfections, for example, as discussed above.
  • the relatively laborious step of analysing SEM images can thus be focussed on imperfections that are most likely to be important for optimising the performance of the lithography process. Consideration of imperfections that are either not caused by the lithography process or which do not lead to corresponding defects after development is reduced or avoided. It is expected that overall required SEM analysis time could be reduced by several hours using this approach relative to existing SEM- based approaches. Due to the stochastic nature of defects a typical defectivity test currently uses tens of substrates, so saving several hours for each substrate provides a significant reduction in time required for assessing the performance of a lithography process and associated apparatus (e.g. an immersion scanner). Requirements for SEM capacity in high volume manufacturing facilities may therefore be reduced and/or quicker data turnaround times may enable various feedback loop applications which were not previously considered practical.
  • the obtained performance information is used in a feedback loop to improve performance of the lithography process.
  • the obtained performance information about the lithography process may thus be used to modify the lithography process itself.
  • the method may for example comprise changing working parameters of the fluid handling structure 12 based on the obtained performance information about the lithography process.
  • the obtained performance information may indicate that a seal of the fluid handling structure 12 is not operating optimally and working parameters relevant to operation of the seal may be adjusted to improve performance (e.g. to improve sealing and or reduce imperfections caused by the sealing).
  • the procedure may be iterated to obtain an optimized set of working parameters and thereby improve the overall performance of the lithography process (e.g. to reduce defectivity and/or increase yield).
  • Exemplary correlations between working parameters of the fluid handling structure 12 and imperfections present after development of the resist may be understood by considering two known mechanisms for generation of defects in this context: 1) film pulling; and 2) bulldozing.
  • Film pulling may occur as the substrate W is moved relative to an immersion system (such as the fluid handling structure 12 or the like).
  • an immersion system such as the fluid handling structure 12 or the like.
  • any variation such as an edge of the substrate W
  • irregularity on the surface of the substrate W such as a change in the contact angle which the immersion fluid makes with the surface of the substrate W may act as a meniscus pinning feature as the immersion fluid passes over it.
  • Working parameters of a fluid handling structure 12 that may be adjusted based on methods of obtaining performance information of the present disclosure may thus include working parameters relating to gas flow of a gas knife at one or more locations around a fluid handling structure 12.
  • An important aspect of performance of a fluid handling structure generally is the successful confinement of the immersion fluid to the immersion space 10. Leaking of liquid out of the immersion space 10 can result in defects in the patterned substrate W. Liquid left behind on the substrate W after it passes out from under the fluid handling structure 12 can lead to defects in any eventual device.
  • Working parameters of the fluid handling structure 12 that are relevant to confinement of the immersion fluid may include one or more of the following for example: a flow rate of immersion liquid into the fluid handling structure 12; a flow rate of immersion liquid out of the fluid handling structure 12; a composition of the immersion fluid; a composition of the resist, particularly a contact angle which the immersion fluid makes with the resist; a route taken by the substrate W under the fluid handling structure 12; the nature of any edge treatment of the substrate W; a distance between a bottom surface of the fluid handling structure 12 and the substrate W (called fly height); and a speed of relative motion between the fluid handling structure 12 and the substrate W.
  • the methods of obtaining performance information described above will work particularly effectively if exposure of substrates W to amines is minimized at all stages in the process.
  • Amine filters may also be used where possible. Scanners and substrate tracks may be provided with such amine filters. Amine filters are not commonly applied to apparatus suitable for performing the first measurement process described above, such as surface scatterometers. It is therefore desirable to arrange for the first measurement process to be performed in an apparatus having one or more amine filters to reduce or eliminate interaction between amines and the exposed resist during the first measurement process.
  • lithography apparatus in the manufacture of ICs
  • the lithography apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • lens may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • a method of obtaining performance information about a lithography process comprising: exposing a resist on a substrate using the lithography process; performing a first measurement process to detect a first set of imperfections on or in the exposed resist; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.
  • the first measurement process comprises detecting non-zeroth order scattered radiation from the substrate; and the first set of imperfections are detected using the detected non-zeroth order scattered radiation.
  • the first measurement process is performed in an apparatus having one or more amine filters to reduce or eliminate interaction between amines and the exposed resist during the first measurement process.
  • the first measurement process is additionally performed before the exposure of the resist using the lithography process; and the first set of imperfections is detected by comparing a result of the performing of the first measurement process after the exposure with a result of the additional performing of the first measurement process before the exposure.
  • the immersion lithography process comprises exposing the resist through a liquid confined by a fluid handling structure between a projection system of an immersion lithography apparatus and a table supporting the substrate, the exposure being performed while moving the table relative to the fluid handling structure.
  • the second measurement process comprises forming an optical image of the substrate and using the optical image to detect the second set of imperfections.
  • exposing of the resist using the lithography process comprises exposing a reticle pattern onto the resist.
  • a method of obtaining performance information about a lithography process comprising: performing a first measurement process to detect a first set of imperfections on or in a exposed resist on a substrate subject to the lithography process; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Methods of obtaining performance information about a lithography process are disclosed. In one arrangement, a resist on a substrate is exposed using the lithography process. A first measurement process is performed to detect a first set of imperfections on or in the exposed resist. The exposed resist is developed after the first measurement process. A second measurement process is performed after the exposed resist has been developed to detect a second set of imperfections. The first set of imperfections and the second set of imperfections are used to obtain performance information about the lithography process.

Description

A method of obtaining performance information about a lithography process
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP application 19209904.2 which was filed on November 19, 2019 and which is incorporated herein in its entirety by reference.
Field
[0002] The present invention relates to obtaining performance information about a lithography process, particularly an immersion lithography process.
Background
[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) of a patterning device (e.g., a mask) onto a layer of radiation- sensitive material (resist) provided on a substrate (e.g., a wafer).
[0004] To project a pattern on the substrate the lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features which are patterned on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within a range of 4 nm to 20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on the substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
[0005] In an immersion lithography apparatus, a liquid is confined to an immersion space by a fluid handling structure (or a liquid confinement structure). The immersion space is between a final optical element of a projection system through which the pattern is imaged and the substrate onto which the pattern is transferred or a support table on which the substrate is supported. The liquid may be confined to the immersion space by a fluid seal. The fluid handling structure may create or use a flow of gas, for example to help in controlling the flow and/or the position of the liquid in the immersion space. The flow of gas may help form the seal to confine the liquid to the immersion space.
[0006] Errors in the immersion lithography process can introduce imperfections to the resist, which may ultimately cause defects in device structures formed in later processing steps. For example, immersion fluid erroneously left behind after the substrate passes under the fluid handling structure may alter resist properties locally or leave behind a residue after evaporation.
[0007] Currently, defectivity associated with a lithography process may be measured using a pattern defect test (PDT). In a PDT, substrates are measured either after develop or etch on a bright- or dark-field pattern inspection tool. Subsequently, all defect locations identified by the inspection tool are reviewed by a scanning electron microscope (SEM) and classified to determine the root-cause of each defect (e.g. whether the defect was introduced during the lithography process being assessed, from fall-on particles, or from post-exposure processing). This approach is labour intensive and prone to human error due to the need to manually assess large numbers of defects in the SEM.
Summary
[0008] It is desirable to provide improved ways of determining performance information about a lithography process.
[0009] According to an aspect, there is provided a method of obtaining performance information about a lithography process, comprising: a method of obtaining performance information about a lithography process, comprising: exposing a resist on a substrate using the lithography process; performing a first measurement process to detect a first set of imperfections on or in the exposed resist; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.
[0010] Brief Description of the Drawings Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
[0011] Figure 1 schematically depicts a lithography apparatus;
[0012] Figure 2 schematically depicts a fluid handling structure for use in the lithographic apparatus;
[0013] Figure 3 is a side cross sectional view that depicts a further liquid supply system; and [0014] Figure 4 is a flow diagram depicting an example method of obtaining performance information about an immersion lithography process.
Detailed Description
[0015] In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm).
[0016] The term “reticle”, “mask” or “patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate. The term “light valve” can also be used in this context. Besides the classic mask (transmissive or reflective, binary, phase- shifting, hybrid, etc.), examples of other such patterning devices include:
- a programmable mirror array; more information on such mirror arrays is given in US5,296,891 and US5,523,193, which are incorporated herein by reference; and
- a programmable LCD array; an example of such a construction is given in US5,229,872, which is incorporated herein by reference.
[0017] Figure 1 schematically depicts a lithography apparatus. The apparatus includes, optionally, an illumination system (illuminator) IL configured to condition a projection beam B (e.g. UV radiation or any other suitable radiation), a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioning device PM configured to accurately position the patterning device in accordance with certain parameters. The apparatus also includes a support table (e.g. a wafer table) WT or "substrate support" or “substrate table” constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioning device PW under control of positioner configured to accurately position the substrate in accordance with certain parameters. The apparatus further includes a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the projection beam B by the patterning device MA onto a target portion C (e.g. including one or more dies) of the substrate W.
[0018] In operation, an illuminator IL receives a radiation beam B from a radiation source SO, e.g. via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and or controlling radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
[0019] The term “projection system” PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
[0020] In operation, the radiation beam B is incident on the patterning device MA, which is held on the support structure MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the patterning device MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioning device PW and position sensor IF (e.g. an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the support table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B at a focused and aligned position. Similarly, a first positioner PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device MA with respect to the path of the radiation beam B. Patterning device MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2. Although the substrate alignment marks Ml, M2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions C (these are known as scribe-lane alignment marks).
[0021] The lithographic apparatus further includes a control unit which controls all the movements and measurements of the various actuators and sensors described. The control unit also includes signal processing and data processing capacity to implement desired calculations relevant to the operation of the lithographic apparatus. In practice, the control unit will be realized as a system of many sub-units, each handling the real-time data acquisition, processing and control of a subsystem or component within the lithographic apparatus. For example, one processing subsystem may be dedicated to servo control of the second positioning device PW. Separate units may handle different actuators, or different axes. Another sub-unit might be dedicated to the readout of the position sensor IF. Overall control of the lithographic apparatus may be controlled by a central processing unit. The central processing unit may communicate with the sub-units, with operators and with other apparatuses involved in the lithographic manufacturing process.
[0022] Arrangements for providing liquid between a final optical element of the projection system PS and the substrate can be classed into three general categories. These are the bath type arrangement, the so-called localized immersion systems and the all-wet immersion systems.
[0023] In an arrangement which has been proposed for a localized immersion system a fluid handling structure 12 extends along at least a part of a boundary of an immersion space 10 between the final optical element 100 of the projection system PS and the facing surface of the stage or table facing the projection system PS. The facing surface of the table is referred to as such because the table is moved during use and is rarely stationary. Generally, the facing surface of the table is a surface of a substrate W, support table WT, e.g. the substrate table which surrounds the substrate W or both. Such an arrangement is illustrated in Figure 2. The arrangement illustrated in Figure 2 and described below may be applied to the lithography apparatus described above and illustrated in Figure 1
[0024] Figure 2 schematically depicts the fluid handling structure 12. The fluid handling structure 12 extends along at least a part of a boundary of the immersion space 10 between the final optical element 100 of the projection system PS and the support table WT or substrate W. In an example, a seal is formed between the fluid handling structure 12 and the surface of the substrate W/support table WT. The seal may be a contactless seal such as a gas seal 16 (such a system with a gas seal is disclosed in EP 1,420, 298, which is hereby incorporated by reference in its entirety) or a liquid seal. [0025] The fluid handling structure 12 is configured to supply and confine immersion fluid, e.g., liquid, to the immersion space 10. Immersion fluid is brought into the immersion space 10 through one of liquid openings, e.g., opening 13a. The immersion fluid may be removed through one of liquid openings, e.g., opening 13b. The immersion fluid may be brought into the immersion space 10 through at least two liquid openings, e.g., opening 13a and opening 13b. Which of liquid openings is used to supply immersion fluid and optionally which is used to remove immersion liquid may depend on the direction of motion of the support table WT.
[0026] Immersion fluid may be contained in the immersion space 10 by the gas seal 16 which, during use, is formed between the bottom of the fluid handling structure 12 and the facing surface of the table (i.e. the surface of the substrate W and/or the surface of the support table WT). The gas in the gas seal 16 is provided under pressure via gas inlet 15 to a gap between the fluid handling structure 12 and substrate W and/or support table WT. The gas is extracted via a channel associated with gas outlet 14. A meniscus 320 forms at a boundary of the immersion fluid. Such a system is disclosed in US 2004-0207824, which is hereby incorporated by reference in its entirety.
[0027] Figure 3 is a side cross sectional view that depicts a further liquid supply system or fluid handling system according to an example. The arrangement illustrated in Figure 3 and described below may be applied to the lithographic apparatus described above and illustrated in Figure 1. The liquid supply system is provided with a fluid handling structure 12 (or a liquid confinement structure), which extends along at least a part of a boundary of the space 10 between the final element of the projection system PS and the support table WT or substrate W.
[0028] The fluid handling structure 12 at least partly confines the immersion liquid in the space 10 between the final element of the projection system PS and the substrate W. The space 10 is at least partly formed by the fluid handling structure 12 positioned below and surrounding the final element of the projection system PS. In an example, the fluid handling structure 12 comprises a main body member 53 and a porous member 83. The porous member 83 is plate shaped and has a plurality of holes (i.e., openings or pores). In an example, the porous member 83 is a mesh plate wherein numerous small holes 84 are formed in a mesh. Such a system is disclosed in US 2010-0045949 Al, which is hereby incorporated by reference in its entirety.
[0029] The main body member 53 comprises supply ports 72, which are capable of supplying the immersion liquid to the space 10, and a recovery port 73, which is capable of recovering the immersion liquid from the space 10. The supply ports 72 are connected to a liquid supply apparatus 75 via passageways 74. The liquid supply apparatus 75 is capable of supplying the immersion liquid to the supply ports 72 through the corresponding passageway 74. The recovery port 73 is capable of recovering the immersion liquid from the space 10. The recovery port 73 is connected to a liquid recovery apparatus 80 via a passageway 79. The liquid recovery apparatus 80 recovers the immersion liquid recovered via the recovery port 73 through the passageway 79. The porous member 83 is disposed in the recovery port 73. Performing the liquid supply operation using the supply ports 72 and the liquid recovery operation using the porous member 83 forms the space 10 between the projection system PS and the fluid handling structure 12 on one side and the substrate W on the other side.
[0030] As mentioned in the introductory part of the description, defectivity associated with a lithography process can be assessed by performing a PDT. This approach is, however, labour intensive and prone to human error due to the need to manually assess large numbers (e.g. 100s) of defects in an SEM.
[0031] An alternative approach is to use surface scatterometry (or other techniques) to examine the surface of a substrate before and after a lithography process has been applied to the substrate. Imperfections introduced by the lithography process can be identified by looking for differences between measurement results before and after the lithography process. The lithography process may comprise an immersion lithography process. The substrate may be uncoated (bare) or coated (e.g. with a resist) during the lithography process. When the substrate is coated with resist, the technique can identify where the resist has been locally modified (e.g. to cause swelling) by immersion liquid left behind on the resist and/or where residue has been deposited by evaporation of immersion liquid. The substrate may or may not be exposed by radiation (e.g. a reticle pattern) during the lithography process. Exposing the substrate with a reticle pattern may, however, provide a more accurate representation of how the lithography process would introduce imperfections during product manufacture and thereby provide more useful performance information about the lithography process. [0032] Measuring the surface of a substrate (e.g. using surface scatterometry) before and after a lithography process is effective for identifying imperfections introduced by the lithography process and does not need manual review of SEM measurements. However, the inventors have found that the approach significantly overestimates the number of defects that will be present after subsequent processing steps (e.g. development of the resist). This is because not all of the identified imperfections will lead to defects being present after subsequent processing steps. Additionally, surface inspection techniques such as surface scatterometry may not able to distinguish efficiently between different types of imperfection, such as between imperfections originating from droplets of immersion liquid and imperfections originating from particle contamination.
[0033] Embodiments described below provide improved and or alternative ways of obtaining performance information about a lithography process.
[0034] In an embodiment, as exemplified in the flow chart of Figure 4, a method of obtaining performance information about a lithography process is provided. In some embodiments, the lithography process comprises an immersion lithography process. The immersion lithography process may be performed in any of the ways described above with reference to Figures 1-3 and/or using any of the apparatus described above with reference to Figures 1-3. In an embodiment, the immersion lithography process comprises exposing a resist on a substrate W through a liquid (e.g. contained in an immersion space 10 as depicted in Figures 2 and 3). The liquid is confined by a fluid handling structure 12 between a projection system PS of an immersion lithography apparatus (e.g. as depicted in Figure 1) and a table WT supporting the substrate W. The exposure is performed while moving the table WT relative to the fluid handling structure 12. In an embodiment, the exposing of the resist comprises exposing a reticle pattern onto the resist. The reticle pattern may be defined using a patterning device MA, as described above with reference to Figure 1.
[0035] In the example of Figure 4, the method comprises a step S 1 of coating the substrate W with a resist. The substrate W may comprise a bare silicon wafer, for example, before the coating takes place.
[0036] In step S3, the method comprises performing the lithography process on the substrate W. [0037] In step S4, a first measurement process is performed on the exposed resist. The first measurement process detects a first set of imperfections on or in the exposed resist. The first set of imperfections detected by the first measurement process comprise deviations from a nominal surface geometry (e.g. perfectly planar) of the surface of the exposed resist. Any measurement process that is capable of detecting imperfections of this nature may be used. In an embodiment, the first measurement process is performed using a surface scatterometer. In an embodiment, the first measurement process comprises directing radiation (e.g. electromagnetic radiation) onto the substrate W and detecting resulting radiation scattered from the substrate W. In an embodiment, the first measurement process comprises detecting non-zeroth order scattered radiation from the substrate W. In an embodiment, the first set of imperfections are detected (predominantly or exclusively) using the detected non-zeroth order scattered radiation. Zeroth order radiation may be blocked or discarded. [0038] In embodiments where the lithography process being assessed is an immersion lithography process, the first measurement process may be configured to detect change to (e.g. swelling of) and/or residue on the resist caused by liquid being left behind on the resist during the movement of the table WT under the fluid handling structure 12 (e.g. liquid that has escaped from the immersion space 10 during the movement due, for example, to imperfect sealing of the fluid handling structure 12 against the substrate W).
[0039] In the example shown, the first measurement process is additionally performed in a step S2 before the exposure of the resist using the lithography process in step S3.
[0040] In step S5, a first comparison process is performed. The first comparison process obtains the first set of imperfections by comparing a result of the first measurement process performed after the exposure (step S4) with a result of the first measurement process performed before the exposure (step S2). For example, the first set of imperfections may consist of, consist essentially of, or comprise, imperfections that are detected in step S4 but not in step S2. [0041] In step S6, the exposed resist is developed. The development is performed after the first measurement process has been performed in step S4. One or more further processing steps may also be performed at this stage, for example etching.
[0042] In step S7, a second measurement process is performed on the substrate W (e.g. on the developed resist or on the substrate W after one or more further processing steps after development). The second measurement process detects a second set of imperfections on or in the substrate W. The second set of imperfections represents imperfections that are present after the development step (step S6) and any further processing steps that are performed before the second measurement process. The second measurement process is thus applied to a substrate W that is nearer to a final product state than the first measurement process. In an embodiment, the second set of imperfections detected by the second measurement process comprise deviations of a pattern defined by the exposing of the resist (e.g. embodied within the developed resist or in a structure formed in the substrate after subsequent processing steps) from a nominal pattern (e.g. defined by a reticle pattern transferred using a notional error-free instance of the lithography process). Any measurement process that is capable of detecting imperfections of this nature may be used. In some embodiments, the second measurement process comprises a bright-field optical inspection process. Alternatively or additionally, the second measurement process may comprise a dark-field optical inspection process. In some embodiments, the second measurement process comprises forming an optical image of the developed resist and using the optical image to detect the second set of imperfections. In some embodiments, the second set of imperfections are detected by identifying differences between nominally identical portions of the developed resist (e.g. by comparing different instances of nominally identical units in a reticle pattern having multiple such instances).
[0043] In step S8, a second comparison process is performed. The second comparison process uses the first set of imperfections (obtained in step S5) and the second set of imperfections (obtained in step S7) to obtain performance information about the lithography process. The performance information may, for example, comprise information about the nature and/or amount of imperfections which are both introduced by the lithography process (leading to surface deviations) and lead to imperfections (e.g. in a pattern) present after development of the exposed resist (and/or after subsequent processing steps).
[0044] In an embodiment, the obtaining of performance information comprises determining locations where imperfections are present in both the first set of imperfections and the second set of imperfections. The performance information may therefore comprise a third set of imperfections.
The third set of imperfections represents imperfections that are introduced by the lithography process (in step S3) and that each contribute to a corresponding imperfection present after development and or any further processing (e.g. in step S6). Thus, each imperfection in the third set of imperfections may consist of an imperfection in the second set of imperfections at a location where an imperfection is also present in the first set of imperfections (or an imperfection in the first set of imperfections at a location where an imperfection is also present in the second set of imperfections). The third set of imperfections may be obtained, for example, by mathematically overlaying a wafer map representing locations of imperfections in the first set of imperfections and a wafer map representing locations of imperfections in the second set of imperfections, and identifying locations where imperfections are present in both wafer maps (within a predefined tolerance).
[0045] In contrast to approaches which rely solely on surface examination techniques such as surface scatterometry performed before and after a lithography process to be assessed, the approach of the present embodiment reduces overestimation of the number of defects that will be present after subsequent processing steps (e.g. development of the resist). This is because imperfections in the first set detected in step S5 that do not have corresponding imperfections in the second set detected in step S7 will not be included in the third set of imperfections. Experiments have shown, for example, that around 33% of imperfections detected by surface scatterometry before development of the resist do not lead to any corresponding imperfections present after development.
[0046] In contrast to approaches such as PDT which review all defects present after development of the resist to assess whether they originate from the lithography process being assessed or from a subsequent processing step (e.g. development), the approach of the present embodiment provides a much smaller set of imperfections that is intrinsically limited to imperfections introduced by the lithography process. Experiments have shown, for example, that the number of imperfections observed using bright-field inspection after development can be 20 times larger than the number of imperfections observed by surface scatterometry before development. Laborious manual review of each imperfection in the third set of imperfections, for example via an SEM, may not be necessary because the process intrinsically selects the most relevant imperfections. In addition to avoiding the need for manual review associated with SEM measurements, the first and second measurement processes can also be performed much more quickly than SEM measurements.
[0047] In some embodiments, a further step (step S9) of analysing a subset of the second set of imperfections using scanning probe microscopy (e.g. an SEM) is also performed. This may be desirable, for example, where there is interest in distinguishing between different types of imperfection, such as between imperfections caused by immersion fluid and imperfections arising from solid particles. The analysis by scanning probe microscopy may be limited, however, only to the subset of the second set of imperfections rather than to the whole of the second set of imperfections (i.e. the subset does not comprise the whole second set of imperfections, but rather comprises a smaller set of imperfections). The subset is selected based on the performance information about the lithography process obtained using the first set of imperfections and the second set of imperfections. The subset may consist of the third set of imperfections, for example, as discussed above. The relatively laborious step of analysing SEM images can thus be focussed on imperfections that are most likely to be important for optimising the performance of the lithography process. Consideration of imperfections that are either not caused by the lithography process or which do not lead to corresponding defects after development is reduced or avoided. It is expected that overall required SEM analysis time could be reduced by several hours using this approach relative to existing SEM- based approaches. Due to the stochastic nature of defects a typical defectivity test currently uses tens of substrates, so saving several hours for each substrate provides a significant reduction in time required for assessing the performance of a lithography process and associated apparatus (e.g. an immersion scanner). Requirements for SEM capacity in high volume manufacturing facilities may therefore be reduced and/or quicker data turnaround times may enable various feedback loop applications which were not previously considered practical.
[0048] In some embodiments, the obtained performance information is used in a feedback loop to improve performance of the lithography process. The obtained performance information about the lithography process may thus be used to modify the lithography process itself. In embodiments in which the lithography process is an immersion lithography process, the method may for example comprise changing working parameters of the fluid handling structure 12 based on the obtained performance information about the lithography process. For example, the obtained performance information may indicate that a seal of the fluid handling structure 12 is not operating optimally and working parameters relevant to operation of the seal may be adjusted to improve performance (e.g. to improve sealing and or reduce imperfections caused by the sealing). The procedure may be iterated to obtain an optimized set of working parameters and thereby improve the overall performance of the lithography process (e.g. to reduce defectivity and/or increase yield).
[0049] Exemplary correlations between working parameters of the fluid handling structure 12 and imperfections present after development of the resist may be understood by considering two known mechanisms for generation of defects in this context: 1) film pulling; and 2) bulldozing.
[0050] Film pulling may occur as the substrate W is moved relative to an immersion system (such as the fluid handling structure 12 or the like). As the surface of the substrate W moves relative to the immersion fluid, any variation (such as an edge of the substrate W) or irregularity on the surface of the substrate W such as a change in the contact angle which the immersion fluid makes with the surface of the substrate W may act as a meniscus pinning feature as the immersion fluid passes over it. This means that as a fluid handling structure 12 moves relative to the substrate W, the meniscus of the immersion fluid between the surface of the substrate W and the fluid handling structure 12 is stretched. After the fluid handling structure 12 has moved a certain distance, the meniscus will eventually break and immersion fluid is left on the surface of the substrate W resulting in droplets on the substrate W which can lead to watermark defects (where residue is left after evaporation of the immersion fluid). Film pulling may be reduced by increasing the gas flow of a gas knife at a receding side of the fluid handling structure 12. However, this may have other consequences at an advancing side of the fluid handling structure 12. For example, using an increased gas flow for the gas knife will increase “bulldozing” at the advancing side of the fluid handling structure 12 as described below. Working parameters of a fluid handling structure 12 that may be adjusted based on methods of obtaining performance information of the present disclosure may thus include working parameters relating to gas flow of a gas knife at one or more locations around a fluid handling structure 12.
[0051] Bulldozing occurs when a droplet of immersion fluid is encountered which is ahead of the fluid handling structure 12. As the substrate W moves, the advancing portion of the fluid handling structure 12 collides with the droplet of immersion fluid and the droplet is pushed forwards by the fluid handling structure 12. As the droplet is pushed forward, defects are created on the surface of the substrate W. Although this may be effectively reduced by reducing the gas flow of a gas knife at the advancing side of the fluid handling structure 12, this may have other consequences. For example, using a reduced gas flow for the gas knife may mean that the confined immersion fluid is more likely to escape from the fluid handling structure 12 at the receding side of thus leading to further defects. [0052] An important aspect of performance of a fluid handling structure generally is the successful confinement of the immersion fluid to the immersion space 10. Leaking of liquid out of the immersion space 10 can result in defects in the patterned substrate W. Liquid left behind on the substrate W after it passes out from under the fluid handling structure 12 can lead to defects in any eventual device. Working parameters of the fluid handling structure 12 that are relevant to confinement of the immersion fluid may include one or more of the following for example: a flow rate of immersion liquid into the fluid handling structure 12; a flow rate of immersion liquid out of the fluid handling structure 12; a composition of the immersion fluid; a composition of the resist, particularly a contact angle which the immersion fluid makes with the resist; a route taken by the substrate W under the fluid handling structure 12; the nature of any edge treatment of the substrate W; a distance between a bottom surface of the fluid handling structure 12 and the substrate W (called fly height); and a speed of relative motion between the fluid handling structure 12 and the substrate W. [0053] The methods of obtaining performance information described above will work particularly effectively if exposure of substrates W to amines is minimized at all stages in the process. This may be achieved by minimizing delays between different processing stages. Amine filters may also be used where possible. Scanners and substrate tracks may be provided with such amine filters. Amine filters are not commonly applied to apparatus suitable for performing the first measurement process described above, such as surface scatterometers. It is therefore desirable to arrange for the first measurement process to be performed in an apparatus having one or more amine filters to reduce or eliminate interaction between amines and the exposed resist during the first measurement process. [0054] Although specific reference may be made in this text to the use of lithography apparatus in the manufacture of ICs, it should be understood that the lithography apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
[0055] The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
[0056] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. Aspects of the invention are set out in the clauses below.
1. A method of obtaining performance information about a lithography process, comprising: exposing a resist on a substrate using the lithography process; performing a first measurement process to detect a first set of imperfections on or in the exposed resist; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.
2. The method of clause 1, wherein the obtaining of performance information comprises determining locations where imperfections are present in both the first set of imperfections and the second set of imperfections.
3. The method of clause 1 or 2, wherein the first set of imperfections detected by the first measurement process comprise deviations from a nominal surface geometry of the surface of the exposed resist.
4. The method of any preceding clause, wherein the first measurement process comprises surface scatterometry.
5. The method of any preceding clause, wherein: the first measurement process comprises detecting non-zeroth order scattered radiation from the substrate; and the first set of imperfections are detected using the detected non-zeroth order scattered radiation.
6. The method of any preceding clause, wherein the first measurement process is performed in an apparatus having one or more amine filters to reduce or eliminate interaction between amines and the exposed resist during the first measurement process. 7. The method of any preceding clause, wherein: the first measurement process is additionally performed before the exposure of the resist using the lithography process; and the first set of imperfections is detected by comparing a result of the performing of the first measurement process after the exposure with a result of the additional performing of the first measurement process before the exposure.
8. The method of any preceding clause, further comprising using the obtained performance information about the lithography process to modify the lithography process.
9. The method of any preceding clause, wherein the lithography process is an immersion lithography process.
10. The method of clause 9, wherein the immersion lithography process comprises exposing the resist through a liquid confined by a fluid handling structure between a projection system of an immersion lithography apparatus and a table supporting the substrate, the exposure being performed while moving the table relative to the fluid handling structure.
11. The method of clause 10, wherein the first measurement process is configured to detect change to and/or residue on the resist caused by liquid being left behind on the resist during the movement of the table under the fluid handling structure.
12. The method of clause 10 or 11, further comprising changing working parameters of the fluid handling structure based on the obtained performance information about the lithography process.
13. The method of any preceding clause, wherein the second set of imperfections detected by the second measurement process comprise deviations of a pattern defined by the exposing of the resist from a nominal pattern.
14. The method of any preceding clause, wherein the second measurement process comprises forming an optical image of the substrate and using the optical image to detect the second set of imperfections.
15. The method of clause 14, wherein the second set of imperfections are detected by identifying differences between nominally identical portions of the developed resist.
16. The method of any of clauses 13-15, wherein the second measurement process comprises a bright- field optical inspection process or a dark-field optical inspection process.
17. The method of any preceding clause, further comprising analysing a subset of the second set of imperfections using scanning probe microscopy, the subset being selected based on the performance information about the lithography process obtained using the first set of imperfections and the second set of imperfections.
18. The method of any preceding clause, wherein the exposing of the resist using the lithography process comprises exposing a reticle pattern onto the resist.
19. A method of obtaining performance information about a lithography process, comprising: performing a first measurement process to detect a first set of imperfections on or in a exposed resist on a substrate subject to the lithography process; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.
20. The method of clause 19, further comprising exposing a resist on the substrate using the lithography process to obtain the exposed resist on the substrate. [0057] The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below without departing from the scope of the claims set out below.

Claims

1. A method of obtaining performance information about a lithography process, comprising: exposing a resist on a substrate using the lithography process; performing a first measurement process to detect a first set of imperfections on or in the exposed resist; developing the exposed resist after the first measurement process; performing a second measurement process after the exposed resist has been developed to detect a second set of imperfections; and using the first set of imperfections and the second set of imperfections to obtain performance information about the lithography process.
2. The method of claim 1, wherein the obtaining of performance information comprises determining locations where imperfections are present in both the first set of imperfections and the second set of imperfections.
3. The method of claim 1 or 2, wherein the first set of imperfections detected by the first measurement process comprise deviations from a nominal surface geometry of the surface of the exposed resist.
4. The method of any preceding claim, wherein the first measurement process comprises surface scatterometry.
5. The method of any preceding claim, wherein: the first measurement process comprises detecting non-zeroth order scattered radiation from the substrate; and the first set of imperfections are detected using the detected non-zeroth order scattered radiation.
6. The method of any preceding claim, wherein the first measurement process is performed in an apparatus having one or more amine filters to reduce or eliminate interaction between amines and the exposed resist during the first measurement process.
7. The method of any preceding claim, wherein: the first measurement process is additionally performed before the exposure of the resist using the lithography process; and the first set of imperfections is detected by comparing a result of the performing of the first measurement process after the exposure with a result of the additional performing of the first measurement process before the exposure.
8. The method of any preceding claim, further comprising using the obtained performance information about the lithography process to modify the lithography process.
9. The method of any preceding claim, wherein the lithography process is an immersion lithography process.
10. The method of claim 9, wherein the immersion lithography process comprises exposing the resist through a liquid confined by a fluid handling structure between a projection system of an immersion lithography apparatus and a table supporting the substrate, the exposure being performed while moving the table relative to the fluid handling structure.
11. The method of claim 10, wherein the first measurement process is configured to detect change to and/or residue on the resist caused by liquid being left behind on the resist during the movement of the table under the fluid handling structure.
12. The method of claim 10 or 11, further comprising changing working parameters of the fluid handling structure based on the obtained performance information about the lithography process.
13. The method of any preceding claim, wherein the second set of imperfections detected by the second measurement process comprise deviations of a pattern defined by the exposing of the resist from a nominal pattern.
14. The method of any preceding claim, wherein the second measurement process comprises forming an optical image of the substrate and using the optical image to detect the second set of imperfections.
15. The method of claim 14, wherein the second set of imperfections are detected by identifying differences between nominally identical portions of the developed resist.
PCT/EP2020/079403 2019-11-19 2020-10-19 A method of obtaining performance information about a lithography process WO2021099047A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP19209904 2019-11-19
EP19209904.2 2019-11-19

Publications (1)

Publication Number Publication Date
WO2021099047A1 true WO2021099047A1 (en) 2021-05-27

Family

ID=68807977

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2020/079403 WO2021099047A1 (en) 2019-11-19 2020-10-19 A method of obtaining performance information about a lithography process

Country Status (1)

Country Link
WO (1) WO2021099047A1 (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP1420298A2 (en) 2002-11-12 2004-05-19 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
US20040207824A1 (en) 2002-11-12 2004-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20100045949A1 (en) 2008-08-11 2010-02-25 Nikon Corporation Exposure apparatus, maintaining method and device fabricating method
US20100099036A1 (en) * 2005-02-10 2010-04-22 Kabushiki Kaisha Toshiba Pattern forming method and method of manufacturing semiconductor device
WO2018192710A1 (en) * 2017-04-20 2018-10-25 Asml Netherlands B.V. Method of performance testing a fluid handling structure

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP1420298A2 (en) 2002-11-12 2004-05-19 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
US20040207824A1 (en) 2002-11-12 2004-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20100099036A1 (en) * 2005-02-10 2010-04-22 Kabushiki Kaisha Toshiba Pattern forming method and method of manufacturing semiconductor device
US20100045949A1 (en) 2008-08-11 2010-02-25 Nikon Corporation Exposure apparatus, maintaining method and device fabricating method
WO2018192710A1 (en) * 2017-04-20 2018-10-25 Asml Netherlands B.V. Method of performance testing a fluid handling structure

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KATSUSHI NAKANO ET AL.: "Analysis and improvement of defectivity in immersion lithography", SPIE, PO BOX 10 BELLINGHAM WA 98227-0010 USA, vol. 6154, 1 January 2006 (2006-01-01), pages J1 - J12, XP040221684 *

Similar Documents

Publication Publication Date Title
KR102182011B1 (en) Inspection method and device
KR101452852B1 (en) Inspection method and apparatus
JP2006190997A (en) Exposure device, exposure method and device manufacturing method
US10845716B2 (en) Lithography apparatus and a method of manufacturing a device
US11774857B2 (en) Lithography apparatus and device manufacturing method
US6456374B1 (en) Exposure apparatus and a device manufacturing method using the same
US11143969B2 (en) Method of performance testing working parameters of a fluid handling structure and a method of detecting loss of immersion liquid from a fluid handing structure in an immersion lithographic apparatus
JP5249168B2 (en) Lithographic apparatus and device manufacturing method
WO2021099047A1 (en) A method of obtaining performance information about a lithography process
NL2020990A (en) Clearing out method, revealing device, lithographic apparatus, and device manufacturing method
JP5281316B2 (en) Method of preparing a substrate for use in immersion lithography and device manufacturing method
US11720032B2 (en) Process tool and an inspection method
US20220187717A1 (en) Device manufacturing method and computer program
US8129097B2 (en) Immersion lithography
JP6903133B2 (en) Multiple image particle detection system and method
NL1036859A1 (en) Support structure, inspection apparatus, lithographic apparatus and methods for loading and unloading substrates.
WO2019149586A1 (en) Method of patterning at least a layer of a semiconductor device
NL2020011A (en) A lithography apparatus and a method of manufacturing a device
WO2024037801A1 (en) A conditioning system, arrangement and method
NL2023716A (en) A Process Tool and an Inspection Method
CN115668058A (en) Substrate support system, lithographic apparatus and method of exposing a substrate
NL2024938A (en) Device manufacturing method and computer program
NL2022731A (en) Cleaning device and method of cleaning

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20792425

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20792425

Country of ref document: EP

Kind code of ref document: A1