WO2021055761A1 - Procédés de dépôt par couche atomique de sico(n) faisant appel à des silylamides halogénés - Google Patents

Procédés de dépôt par couche atomique de sico(n) faisant appel à des silylamides halogénés Download PDF

Info

Publication number
WO2021055761A1
WO2021055761A1 PCT/US2020/051509 US2020051509W WO2021055761A1 WO 2021055761 A1 WO2021055761 A1 WO 2021055761A1 US 2020051509 W US2020051509 W US 2020051509W WO 2021055761 A1 WO2021055761 A1 WO 2021055761A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
silicon precursor
silicon
amine
terminations
Prior art date
Application number
PCT/US2020/051509
Other languages
English (en)
Inventor
Bhaskar Jyoti Bhuyan
Mark Saly
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/576,341 external-priority patent/US11549181B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020227012718A priority Critical patent/KR20220062111A/ko
Publication of WO2021055761A1 publication Critical patent/WO2021055761A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides

Definitions

  • the present disclosure relates generally to methods of depositing thin films.
  • the disclosure relates to atomic layer deposition processes for the deposition films comprising silicon, carbon, oxygen, and, optionally, nitrogen.
  • ALD atomic layer deposition
  • Low-k Si-based dielectric films are very important for the microelectronics manufacturing.
  • One important application for low-k films is as a spacer with low wet etch rate (WER) - as close to 0 A/min in 1 :100 HF as possible - and a dielectric constant (k) value of ⁇ 4.
  • the spacer should also maintain a low etch rate after being exposed to a moderate O2 plasma ( ⁇ 2K W remote plasma).
  • Si-based low-k films have been deposited by ALD in a furnace chamber. To achieve the acceptable film properties, the film needs to be deposited at temperatures >500 Q C in the furnace chamber. As thermal budgets continually decrease with every chip node, there is need for the deposition of low-k films at temperatures below 500 Q C.
  • One or more embodiments of the disclosure are directed to methods of depositing a film comprising Si, C, O and N, the method comprising: exposing a substrate surface to a silicon precursor to form a film with silicon-halogen terminations, wherein the silicon precursor comprises a halogenated silyl amide; and exposing the film with silicon-halogen terminations to an alcohol-amine to form a film comprising -OH terminations.
  • Additional embodiments of the disclosure are directed to methods of depositing a film comprising Si, C, O and N, the method comprising: exposing a substrate surface comprising -OH terminations to first process cycle comprising sequential exposure to a first silicon precursor and a diamine compound to form a film with amine terminations, wherein the first silicon precursor comprises a halogenated silyl amide; and exposing the film with amine terminations to a second process cycle comprising sequential exposure to a second silicon precursor and a diol form a film comprising -OH terminations, the second silicon precursor comprising a halogenated silyl amide.
  • FIG. 1 shows a flowchart of a processing method according to one or more embodiment of the disclosure
  • FIG. 2 shows a schematic representation of a method according to one or more embodiment of the disclosure
  • FIG. 3 shows a flowchart of a processing method according to one or more embodiment of the disclosure
  • FIG. 4 shows a schematic representation of a method according to one or more embodiment of the disclosure.
  • FIG. 5 shows a schematic representation of a method according to one or more embodiment of the disclosure.
  • a "substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on an under-layer formed on the substrate as disclosed in more detail below, and the term "substrate surface" is intended to include such under-layer as the context indicates.
  • substrate surface is intended to include such under-layer as the context indicates.
  • the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.
  • Silyl halide precursors can react with R-NH 2 (or ammonia) groups to yield a SiCN film.
  • the k value for SiCN is on the higher side ( ⁇ 6) and incorporation of O is used to bring the k value close to ⁇ 3.5-4.
  • Using an alcohol amine introduces C, O and N in film but the ALD cycles doesn’t propagate well as incoming silyl halide doesn’t undergo a favorable reaction with Si-C-OH tail group.
  • silyl amides are used instead of silyl halide, the reaction is favorable only towards -OH terminated surfaces but doesn’t react with -NH 2 termination.
  • oxidizing plasma is required for such ALD reactions, which deplete carbon from the film leading to SiO deposition. If alcohol amines are used for ALD cycles the reaction doesn’t proceed after one complete cycle as C-NH 2 group does not react thermally with silyl amide precursors in vapor phase.
  • One of the major challenges of depositing ALD low materials is lower reactivity of silyl amides based precursors towards Si-C-0 surface as incorporation of C reduces the number of Si-OH surface available for reacting with incoming precursor.
  • the inventors have found that using a halogenated silyl amide (e.g., bis(dimethylamino)diiodosilane) and alcohol amine (e.g., ethanolamine) can lead to propagation of the reaction in both half cycles leading to a SiCO(N) film.
  • Film composition can be tuned by using different bifunctional groups such as alcohols, amines or combination of both for depositing a SiCO, SiCN or SiCON films.
  • Film quality can be also tuned by incorporating plasma treatment (H 2 , CO, He, Ar, N 2 or a combination of these gases) to achieve better WER or ashing resistance.
  • Halogenated silyl amides can be used as nucleation enhancer for SiN growth processes. Silicon nitride is typically grown in thermal ALD mode using silyl halides (SiCI 4 , SiBr 4 , Si l 4 , etc.) and NH 3 as co-reagent.
  • silyl halides SiCI 4 , SiBr 4 , Si l 4 , etc.
  • NH 3 co-reagent.
  • One of the major challenges associated with thermal ALD of SiN is the nucleation at the surface. Surfaces of Si (or any other substrate) substrate is passivated with native oxide on air exposure having a Si-OH dangling bonds. Silyl halides do not react spontaneously with these -OH surfaces in vapor phase leading to a nucleation delay in SiN deposition. Silyl-amide bonds are very reactive towards Si-OH (or any oxide surface) surfaces. Therefore, the inventors have found that the nucleation delay issue can be mitigated using a halogenated sily
  • one or more embodiments of the disclosure provide thermal ALD and plasma-enhanced ALD (PEALD) methods of forming SiCO, SiCN and SiCON films using halogenated silyl amide (e.g., bis(dimethylamino)diiodosilane) and an alcohol amine (e.g., ethanolamine). Some embodiments provide methods of forming SiCO and SiCON using a halogenated silyl amide followed by alternating exposures of a diamine or diol. [0022] Some embodiments of the disclosure deposit silicon oxycarbide (SiCO) films. Some embodiment of the disclosure deposit silicon carbonitride (SiCN) films.
  • SiCO silicon oxycarbide
  • SiCN silicon carbonitride
  • SiCO(N) refers to a film comprising silicon (Si) and carbon (C) and, optionally, one or more of oxygen (O) or nitrogen (N) atoms.
  • SiCO(N) refers to SiCO, SiCN and SiCON films.
  • Some embodiments of the disclosure advantageously provide methods of depositing films with relatively high carbon content.
  • high carbon content means a carbon content greater than or equal to about 10 atomic percent.
  • Some embodiments advantageously provide methods of forming SiCO, SiCN or SiCON films with a dielectric constant (k) less than or equal to about 4.5.
  • FIGS. 1 and 2 one or more embodiments of the disclosure are directed to methods 100 of depositing a thin film comprising silicon (Si) and one or more of carbon (C), oxygen (O) and nitrogen (N).
  • FIG. 1 illustrates an exemplary flowchart of the method 100 and
  • FIG. 2 illustrates an exemplary method according to one or more embodiment.
  • Process 110 in FIG. 1 comprises several individual operations, as described herein.
  • the process 110 can occur in seamlessly in a single processing chamber, or can occur in multiple processing environments (e.g., in a spatially-separated ALD chamber).
  • a hydroxyl (-OH) terminated substrate surface is exposed to a silicon precursor in a suitable processing chamber, as shown in operation 112.
  • the reaction of the hydroxyl-terminated substrate surface with the silicon precursor forms a film with a silicon-halogen terminated surface.
  • the process chamber or substrate surface is purged of unreacted silicon precursor.
  • the process chamber is purged of silicon precursor and any reaction products or byproducts.
  • the substrate surface is purged of silicon precursor and any reaction products or byproducts by passing the substrate from one processing environment to a second processing environment within the processing chamber.
  • the substrate surface is typically purged by passing the substrate through a gas curtain comprising one or more of inert gas streams (flowing into the chamber) and vacuum streams (flowing out of the chamber).
  • the substrate surface is exposed to an alcohol-amine reactant to form a film comprising -OH terminations.
  • an alcohol-amine reactant to form a film comprising -OH terminations.
  • the process chamber or substrate surface is purged of unreacted alcohol-amine, reaction products and byproducts.
  • FIG. 2 shows an exemplary process according to method 100.
  • the reactive species illustrated in FIG. 2 are merely one possible configuration of the method 100 and should not be taken as limiting the scope of the disclosure.
  • the substrate surface initially having hydroxyl-terminations, is exposed to bis(dimethylamino)diiodosilane to form a silicon-iodide terminated surface.
  • the silicon-iodide terminated surface is exposed to ethanolamine to form a thin film with hydroxyl-terminations.
  • the method 100 reaches decision point 130. If the thickness of the thin film has reached a predetermined value, the method 100 can be stopped, or can move to an optional post-process. If the thickness has not reached the predetermined value, the method 100 repeats process 110 to deposit another layer. The process 110 forms a hydroxyl-terminated surface so that the process 110 can be repeated without further surface modifications.
  • FIGS. 3 and 4 illustrate a method 200 according to one or more embodiments of the disclosure. Similar to method 100, method 200 can deposit a thin film comprising silicon (Si) and one or more of carbon (C), oxygen (O) and nitrogen (N).
  • Si silicon
  • C carbon
  • O oxygen
  • N nitrogen
  • FIGS. 3 and 4 use two process conditions, as shown in process 210 and process 220.
  • process condition means conditions used for particular reaction. For example, a combination of reactive species, inert gases, temperature, pressure, etc., known to the skilled artisan.
  • Process 210 in FIG. 3 comprises several individual operations, as described herein.
  • the process 210 can occur in seamlessly in a single processing chamber, or can occur in multiple processing environments (e.g., in a spatially-separated ALD chamber).
  • a hydroxyl (-OH) terminated substrate surface is exposed to a first silicon precursor in a suitable processing chamber, as shown in operation 112.
  • the reaction of the hydroxyl-terminated substrate surface with the silicon precursor forms a film with a silicon-halogen terminated surface.
  • the process chamber or substrate surface is purged of unreacted silicon precursor.
  • the process chamber is purged of silicon precursor and any reaction products or byproducts.
  • the substrate surface is purged of silicon precursor and any reaction products or byproducts by passing the substrate from one processing environment to a second processing environment within the processing chamber.
  • the substrate surface is typically purged by passing the substrate through a gas curtain comprising one or more of inert gas streams (flowing into the chamber) and vacuum streams (flowing out of the chamber).
  • the substrate surface is exposed to a diamine reactant to form a film comprising -NH 2 terminations or amine-terminations.
  • a reaction of the diamine reactant with the surface silicon-halogen terminated surface in operation 218, the process chamber or substrate surface is purged of unreacted diamine, reaction products and byproducts.
  • Process 220 in FIG. 3 comprises several individual operations, as described herein.
  • the process 220 can occur in seamlessly in a single processing chamber, or can occur in multiple processing environments (e.g., in a spatially-separated ALD chamber).
  • an amine-terminated substrate surface is exposed to a second silicon precursor in a suitable processing chamber, as shown in operation 222.
  • the reaction of the amine-terminated substrate surface with the silicon precursor forms a film with a silicon-halogen terminated surface.
  • the process chamber or substrate surface is purged of unreacted silicon precursor.
  • the substrate surface is exposed to a diol reactant to form a film comprising -OH terminations.
  • the process chamber or substrate surface is purged of unreacted diamine, reaction products and byproducts.
  • process 210 reforms the hydroxyl- terminated surface.
  • process 220 can be performed before process 210.
  • the flowchart illustrated in FIG. 3 should not be taken as limiting the order of reactions.
  • FIG. 4 shows an exemplary process according to method 200.
  • the reactive species illustrated in FIG. 4 are merely one possible configuration of the method 200 and should not be taken as limiting the scope of the disclosure.
  • the substrate surface initially having hydroxyl-terminations, is exposed to a first silicon precursor (shown as bis(dimethylamino)diiodosilane) to form a silicon-iodide terminated surface.
  • a first silicon precursor shown as bis(dimethylamino)diiodosilane
  • the silicon-iodide terminated surface is exposed to ethylene diamine to form a thin film with amine-terminations.
  • the amine-terminated film is then exposed to a second silicon precursor (which can be the same as the first silicon precursor or a different silicon precursor) to form silicon-iodide terminated surface.
  • a second silicon precursor which can be the same as the first silicon precursor or a different silicon precursor
  • the silicon-iodide terminated surface is exposed to 1 ,2- dihydroxyethane to form a thin film with hydroxyl-terminations.
  • the method 200 reaches decision point 230. If the thickness of the thin film has reached a predetermined value, the method 200 can be stopped, or can move to an optional post-process. If the thickness has not reached the predetermined value, the method 200 repeats process 210 and/or 220 to deposit another layer.
  • the silicon precursor can be any suitable silicon species.
  • the silicon precursor comprises substantially no Si-C bonds.
  • substantially no Si-C bonds means that the reactive silicon species has less than or equal to about 5%, 2%, 1% or 0.5% Si-C bonds, on a molecular basis.
  • the silicon precursor of method 100 comprises or consists essentially of a species with the general formula X n Si(NRR’) (4. n ) , where n is 1-3, each X is independently F, Cl, Br or I, each of R and R’ is independently an alkyl or aryl having in the range of 1 to 8 carbon atoms.
  • each X is independently selected from bromine (Br) or iodine (I).
  • substantially all X atoms are Br.
  • substantially all X atoms are I.
  • the term “substantially all” means that the halogen atoms of the reactive species are greater than or equal to about 95%, 98%, 99% or 99.5% of the stated species.
  • the silicon precursor of any of method 100 or method 200 comprises or consists essentially of a species with two halogen and two amino groups (X 2 Si(NRR’) 2 ).
  • the silicon precursor comprises or consists essentially of a bis(organoamino)diiodosilane (l 2 Si(NRR’) 2 ).
  • the silicon precursor comprises or consists essentially of bis(dimethylamino)diiodosilane (l2Si(N(CH 3 ) 2 ) 2 ).
  • the alcohol-amine can be any suitable reactive species.
  • the alcohol-amine has a general formula H 2 N-R”-OH, where R” is an alkyl, alkyenyl or alkynyl group having in the range of 1 to 16 carbons atoms.
  • R has in the range of 2 to 8 carbon atoms.
  • the R has in the range of 2 to 4 carbon atoms.
  • the diamine and diol can be any suitable diamine or diols.
  • the diamine has a general formula H 2 N-R 3 -NH 2 , where R 3 is an alkyl, alkyenyl or alkynyl group having in the range of 1 to 16 carbons atoms. In some embodiments, R 3 has in the range of 2 to 8 carbon atoms. In some embodiments, R 3 has in the range of 2 to 4 carbon atoms.
  • the diol has a general formula HO-R 4 -OH, where R 4 is an alkyl, alkenyl or alkynyl group having in the range of 1 to 16 carbon atoms. In some embodiments, R 4 has in the range of 2 to 8 carbon atoms. In some embodiments, R 4 has in the range of 2 to 4 carbon atoms.
  • FIG. 5 shows another embodiment of the disclosure in which nucleation delay of a hydroxyl-terminated substrate surface is resolved or mitigated using a halogenated silylamide as a pretreatment before performing a silicon nitride deposition process.
  • the silyl-amide bonds are reactive toward the Si-OH terminations (or other oxide surfaces).
  • the nucleation delay issue is resolved by one or more exposures of the hydroxyl-terminated substrate surface to a halogenated silylamide to form a silicon-halogen terminated surface.
  • the silicon nitride film is deposited by sequential and repeated exposures (i.e., atomic layer deposition) or simultaneous exposure (i.e., chemical vapor deposition) to a nitrogen reactant (e.g., ammonia) and a silicon halide.
  • a nitrogen reactant e.g., ammonia
  • the silicon halide comprises SiX 4 , where each X is independently selected from Cl, Br or I.
  • a silicon carbonitride or silicon oxycarbonitride film is formed.
  • the silicon precursor comprises carbon atoms in the organic amine groups.
  • the silicon precursor does not contain carbon.
  • some other carbon source may be provided.
  • the plasma may be a carbon source.
  • a plasma may be dual function (e.g, be a densification plasma as well as providing a carbon source for the film).
  • the silicon precursor does contain carbon.
  • other components used in making the film i.e., other precursor or film
  • the silicon precursor is silane-based, such as halogenated silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), etc.
  • the silane-based silicon precursors may features one or more, and up to all, of the hydrogen atoms replaced with halogen atoms. Examples of suitable precursors therefore comprise monochlorosilane, dichlorosilane, trichlorosilane, or silicon tetrachloride, hexachlorodisilane (HCDS).
  • the silicon precursor comprises a halogenated carbosilane.
  • Suitable halogenated carbosilanes include, but are not limited to, those based on 1 ,4-disilabutane, 1 ,3-disilabutane, 1 ,3,5-trisilapentane, 1 ,3-disilacyclobutane and 1 ,3,5-trisilacyclohexane, etc.
  • the method comprises exposing the substrate surface to a nitrogen-containing plasma.
  • the nitrogen- containing plasma comprises ammonia, an amine, hydrazine or carbonitride.
  • the nitrogen-containing plasma may or may not contain carbon depending on the silicon precursor used, and depending on whether there are other sources of carbon. In embodiments where the silicon precursor does not contain carbon, then the nitrogen-containing plasma may have carbon, so that there is at least one source of carbon for the SiCN film.
  • plasmas that contain nitrogen and carbon include plasmas comprising alkyl amines, such as methyl amine, ethyl amine, dimethyl amine, diethyl amine, trimethyl amine, triethyl amine, methyl ethyl amine, dimethyl ethyl amine, tert-butyl amine, etc.
  • alkyl amines such as methyl amine, ethyl amine, dimethyl amine, diethyl amine, trimethyl amine, triethyl amine, methyl ethyl amine, dimethyl ethyl amine, tert-butyl amine, etc.
  • the nitrogen-containing plasma does not need to also contain carbon, although it may also contain carbon to increase the carbon content of the resulting film.
  • the nitrogen-containing plasma is a mixture of compounds.
  • the nitrogen-containing plasma may comprise N 2 , as well as other components.
  • Other components include, but are not limited to ammonia, amines, and carbon-containing components.
  • the nitrogen-containing plasma also contains a diluting component.
  • the nitrogen-containing plasma may be diluted with argon, helium or other inert dilution plasma.
  • the exposure of the substrate surface to a halogenated silicon precursor and nitrogen- containing plasma occurs in one chamber.
  • the method comprises exposing the substrate surface to a nitrogen precursor to provide a film.
  • the nitrogen precursor comprises ammonia, alkyl amine or other amine.
  • ammonia is utilized for thermal reactions, and nitrogen or nitrogen with ammonia for plasma-based reactions.
  • the nitrogen precursor may or may not contain carbon depending on the silicon precursor used, and whether there are other sources of carbon.
  • the nitrogen precursor may have carbon, so that there is at least one source of carbon for the SiCN film.
  • the nitrogen precursor does not need to also contain carbon, although it may also contain carbon to increase the carbon content of the resulting film.
  • the silicon precursor comprises a halogenated silane and the nitrogen precursor comprises ammonia.
  • the silicon precursor comprises a halogenated carbosilane and the nitrogen precursor comprises an alkyl amine.
  • the method comprises exposing the film comprising Si and N to a densification plasma.
  • Densification plasmas allow for removal of hydrogen. Any densification plasmas known in the art may be utilized, including, but not limited to, hydrogen gas (H 2 ), nitrogen gas (N 2 ), etc.
  • the exposure of the substrate surface to a halogenated silicon precursor, nitrogen precursor and densification plasma occurs in one chamber.
  • the method further comprises exposing the film comprising Si and N to a carbon source.
  • An exemplary carbon source is propylene. When plasma is used for the reaction, other carbon sources can be used as well: methane, acetylene.
  • the specific reaction conditions for the ALD reaction will be selected based on the properties of the film precursors, plasmas, any other reagents and substrate surface.
  • the deposition may be carried out at atmospheric pressure, but may also be carried out at reduced pressure.
  • the vapor pressure of the catalyst should be low enough to be practical in such applications.
  • the substrate temperature should be low enough to keep the bonds of the substrate surface intact and to prevent thermal decomposition of gaseous reactants. However, the substrate temperature should also be high enough to keep the film precursors in the gaseous phase and to provide sufficient energy for surface reactions.
  • the specific temperature depends on the specific substrate, film precursors, and catalyst used and pressure.
  • the properties of the specific substrates, precursors, plasmas, and any other reagents may be evaluated using methods known in the art, allowing selection of appropriate temperature and pressure for the reaction.
  • the deposition is carried out at a temperature less than about 550 °C, 500 °C, 450 °C, 400 °C, 350 °C, 300 °C, 250 °C or 200 °C.
  • the substrate surface that will be exposed to various precursors contains a layer that allows the reaction of either the first or second precursors. Examples include layers that contain reactive -OH or -NH moieties or handles.
  • One or more of the methods described above may be an atomic layer deposition (ALD) process.
  • the substrate surface is exposed to the precursors sequentially or substantially sequentially.
  • substantially sequentially means that a majority of the duration of a precursor exposure does not overlap with the exposure to a co-reagent, although there may be some overlap.
  • One or more of the methods described above may be a chemical vapor deposition (CVD) process.
  • the substrate surface is exposed to the precursors simultaneously or substantially simultaneously.
  • substantially simultaneously means that the majority of the duration of a precursor exposure overlaps with the exposure to a co-reagent, although they may not be exactly co-extensive.
  • a process may be repeated to achieve additional layers of film deposition.
  • the process may be repeated as necessary to achieve predetermined film thicknesses. Additionally, certain parts of the methods described herein may be repeated. For example, in some embodiments relating to a thermal ALD of SiN process followed by densification plasma, the thermal ALD process may be repeated several times prior to exposure to the densification plasma.
  • the methods described above may be combined with other film deposition processes. That is, in some embodiments, the above methods may be used to deposit SiCN over or under other films to achieve an overall composition.
  • SiCN deposition may be combined with alternating layers of SiN, SiC, and SiCN deposition by methods known in the art.
  • a low-k dielectric, thermally stable film containing -CN or -C-CN
  • having good electrical properties -SiN bonds
  • good HF-solution etch resistance can be achieved by adding carbon to a SiN monolayer.
  • the method may further comprise an ex- situ plasma treatment to satisfy integration requirements.
  • the substrate is subjected to processing prior to and/or after forming the layer.
  • This processing can be performed in the same chamber or in one or more separate processing chambers.
  • the substrate is moved from the first chamber to a separate, second chamber for further processing.
  • the substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber.
  • the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a "cluster tool" or "clustered system,” and the like.
  • a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching.
  • a cluster tool includes at least a first chamber and a central transfer chamber.
  • the central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • Centura® and the Endura® are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif.
  • Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean
  • thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • the substrate is continuously under vacuum or "load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next.
  • the transfer chambers are thus under vacuum and are "pumped down” under vacuum pressure.
  • Inert gases may be present in the processing chambers or the transfer chambers.
  • an inert gas is used as a purge gas to remove some or all of the reactants.
  • a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber(s). Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • the substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed.
  • the substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber.
  • the shape of the chamber and associated conveyer system can form a straight path or curved path.
  • the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
  • the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface.
  • the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively.
  • the gases either reactive gases or inert gases
  • a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
  • the substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discrete steps.
  • a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
  • the substrate can be exposed to the first and second precursors either spatially or temporally separated processes.
  • Temporal ALD is a traditional process in which the first precursor flows into the chamber to react with the surface. The first precursor is purged from the chamber before flowing the second precursor.
  • spatial ALD both the first and second precursors are simultaneously flowed to the chamber but are separated spatially so that there is a region between the flows that prevents mixing of the precursors.
  • spatial ALD the substrate is moved relative to the gas distribution plate, or vice-versa.
  • the process may be a spatial ALD process.
  • spatial ALD atomic layer deposition
  • the reagents described above may not be compatible (i.e., result in reaction other than on the substrate surface and/or deposit on the chamber)
  • spatial separation ensures that the reagents are not exposed to each in the gas phase.
  • temporal ALD involves the purging the deposition chamber.
  • spatial separation excess reagent does not need to be purged, and cross-contamination is limited.
  • a lot of time can be required to purge a chamber, and therefore throughput can be increased by eliminating the purge step.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

L'invention concerne des procédés de formation de films comprenant Si, C, O et N. Certains procédés consistent en l'exposition répétée d'une surface de substrat à terminaison hydroxyde à un précurseur de silicium et à un alcool aminé pour former un film à terminaisons hydroxyde. Certains procédés consistent en l'exposition répétée d'une surface de substrat à terminaison hydroxyde à un précurseur de silicium et à une diamine pour former un film ayant une surface à terminaison amine, suivie d'une exposition répétée à un précurseur de silicium et un diol pour former un film ayant une surface à terminaison hydroxyde.
PCT/US2020/051509 2019-09-19 2020-09-18 Procédés de dépôt par couche atomique de sico(n) faisant appel à des silylamides halogénés WO2021055761A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227012718A KR20220062111A (ko) 2019-09-19 2020-09-18 할로겐화된 실릴아미드들을 사용하여 SiCO(N)를 원자층 증착하는 방법들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/576,341 2019-09-19
US16/576,341 US11549181B2 (en) 2013-11-22 2019-09-19 Methods for atomic layer deposition of SiCO(N) using halogenated silylamides

Publications (1)

Publication Number Publication Date
WO2021055761A1 true WO2021055761A1 (fr) 2021-03-25

Family

ID=74884715

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2020/051509 WO2021055761A1 (fr) 2019-09-19 2020-09-18 Procédés de dépôt par couche atomique de sico(n) faisant appel à des silylamides halogénés

Country Status (3)

Country Link
KR (1) KR20220062111A (fr)
TW (1) TW202117056A (fr)
WO (1) WO2021055761A1 (fr)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050025885A1 (en) * 2003-07-30 2005-02-03 Mcswiney Michael L. Low-temperature silicon nitride deposition
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film
US20150147484A1 (en) * 2013-11-22 2015-05-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
US20180138405A1 (en) * 2016-11-11 2018-05-17 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
WO2018132568A1 (fr) * 2017-01-13 2018-07-19 Applied Materials, Inc. Procédés et appareil pour films au nitrure de silicium basse température
US20200010954A1 (en) * 2013-11-22 2020-01-09 Applied Materials, Inc. Methods For Atomic Layer Deposition Of SiCO(N) Using Halogenated Silylamides

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050025885A1 (en) * 2003-07-30 2005-02-03 Mcswiney Michael L. Low-temperature silicon nitride deposition
US20050163927A1 (en) * 2004-01-23 2005-07-28 Mcswiney Michael L. Forming a silicon nitride film
US20150147484A1 (en) * 2013-11-22 2015-05-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
US20200010954A1 (en) * 2013-11-22 2020-01-09 Applied Materials, Inc. Methods For Atomic Layer Deposition Of SiCO(N) Using Halogenated Silylamides
US20180138405A1 (en) * 2016-11-11 2018-05-17 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
WO2018132568A1 (fr) * 2017-01-13 2018-07-19 Applied Materials, Inc. Procédés et appareil pour films au nitrure de silicium basse température

Also Published As

Publication number Publication date
KR20220062111A (ko) 2022-05-13
TW202117056A (zh) 2021-05-01

Similar Documents

Publication Publication Date Title
US11549181B2 (en) Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11028478B2 (en) Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9978685B2 (en) Conformal amorphous silicon as nucleation layer for W ALD process
US9984868B2 (en) PEALD of films comprising silicon nitride
US9643844B2 (en) Low temperature atomic layer deposition of films comprising SiCN or SiCON
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US9875889B2 (en) Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives
US11174551B2 (en) Methods for depositing tungsten on halosilane based metal silicide nucleation layers
US20240047193A1 (en) Methods of Depositing SiCON with C, O, and N Compositional Control
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
KR102234559B1 (ko) 핵형성을 사용하지 않는 갭 충전 ald 프로세스
US11244824B2 (en) Conformal doped amorphous silicon as nucleation layer for metal deposition
US20160002782A1 (en) Catalytic Atomic Layer Deposition Of Films Comprising SiOC
WO2021055761A1 (fr) Procédés de dépôt par couche atomique de sico(n) faisant appel à des silylamides halogénés
US9200365B2 (en) Method of catalytic film deposition
WO2014152826A1 (fr) Dépôt de films à l'aide de précurseurs de disiloxane
TW201520369A (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20866085

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20227012718

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 20866085

Country of ref document: EP

Kind code of ref document: A1