WO2019236909A1 - Reduction of diffusion across film interfaces - Google Patents

Reduction of diffusion across film interfaces Download PDF

Info

Publication number
WO2019236909A1
WO2019236909A1 PCT/US2019/035875 US2019035875W WO2019236909A1 WO 2019236909 A1 WO2019236909 A1 WO 2019236909A1 US 2019035875 W US2019035875 W US 2019035875W WO 2019236909 A1 WO2019236909 A1 WO 2019236909A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
tungsten
fluorine
layer
substrate
Prior art date
Application number
PCT/US2019/035875
Other languages
French (fr)
Inventor
Gorun Butail
Joshua Collins
Griffin John Kennedy
Hanna Bamnolker
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to CN201980038073.0A priority Critical patent/CN112218975A/en
Priority to KR1020217000246A priority patent/KR20210007031A/en
Publication of WO2019236909A1 publication Critical patent/WO2019236909A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • Vapor deposition of a film can lead to migration of species in the deposition chemistry at the interface. For certain applications, this migration can lead to poisoning of the device.
  • tungsten (W) is used for logic and memory contacts and may be deposited from tungsten hexafluoride (WF 6 ) gas.
  • fluorine (F) from WIG migrates to a metal/oxide interface, it can lead to poisoning of the underlying device.
  • One aspect of the disclosure relates to a method including: treating a surface on a substrate to increase the roughness of grain boundaries at the surface; and after increasing the roughness of the grain boundaries, depositing a film on the surface.
  • the surface is one of: tungsten, tungsten nitride, tungsten carbide, tungsten carbon nitride, titanium nitride, titanium, silicon oxide, and aluminum oxide.
  • the treatment includes exposing the surface to an oxidant.
  • the method further includes annealing the substrate in a reducing atmosphere after exposing the surface to an oxidant and prior to depositing the film.
  • the method further includes annealing the substrate after exposing the surface to an oxidant and prior to depositing the film. In some embodiments, the method further includes exposing the surface to a reducing chemistry after exposing the surface to an oxidant and prior to depositing the film. In some embodiments, the film is deposited using a fluorine-containing precursor.
  • a fluorine-containing precursor is tungsten hexafluoride. In some such embodiments, the amount of fluorine at the surface/film interface is no more than 1E19 atoms/ cm3.
  • the surface is tungsten (W) and treating the surface includes forming tungsten oxide (WOx).
  • Another aspect of the disclosure relates to a method including: exposing a liner layer to an oxidant; after exposing the liner layer to the oxidant, exposing the liner layer to a reducing agent; and depositing a bulk layer on the liner layer.
  • the liner layer is tungsten or a tungsten compound film.
  • the liner is a fluorine-free layer.
  • the liner layer is a titanium or titanium compound film.
  • depositing the bulk layer includes exposing the liner layer to a fluorine-containing compound.
  • the amount of fluorine at the liner/bulk layer interface is no more than IE 19 atoms/cm3.
  • the liner layer directly contacts an oxide film.
  • an apparatus includes one or more stations each configured to house a substrate; a support substrate in each of the one or more stations; gas inlets configured to direct gas into each of the one or more stations; and a controller comprising program instructions for: fa) inletting an oxidant to the one or more stations to expose a substrate to the oxidant; (b) directly after (a) and before (c) inletting a reducing agent to the one or more stations to expose the substrate to the reducing agent; and after (c) inletting alternating pulses of hydrogen (H 2 ) and WF 6 to the one or more stations.
  • Figure 1 provides a process flow diagram for a method performed in accordance with disclosed embodiments.
  • Figure 2 shows a simplified schematic illustrating grains 220 having boundaries 222 before and after treatment.
  • Figure 3 shows an example stack of layers deposited on a substrate.
  • Figures 4A-4D are schematic examples of various structures for which the methods disclosed herein may be implemented during fabrication.
  • Figure 5 provides a process flow diagram for a method performed in accordance with disclosed embodiments.
  • Figure 6 provides a process flow diagram for a tungsten fill method performed in accordance with disclosed embodiments.
  • Figure 7 shows a simplified schematic illustrating of grains having boundaries before and after treatment operations according to certain embodiments
  • FIG. 8 is a block diagram of a processing system suitable for conducting tungsten thin film deposition processes in accordance with embodiments.
  • Figure 9 depicts a schematic example of a deposition system.
  • Figure 1 provides a process flow diagram for a method performed in accordance with disclosed embodiments.
  • the method 100 in Figure 1 begins with treating a substrate surface to reduce diffusion of species that may be generated or provided in a subsequent operation (102).
  • the substrate surface may be, for example, an oxide surface, such as a silicon oxide (e.g , Si0 2 ) or aluminum oxide (e.g., A1 2 0 3 ) surface.
  • a liner layer of a film such as a W, WN, WCN, or TiN film is deposited, with its surface treated in operation 102.
  • the surface may be a film surface of a blanket film or a film surface of a pattern film. In some embodiments, it may be a film surface of a liner film in a topographical feature.
  • Examples of treating the surface are discussed further below and can include exposure to one or more chemical species and/or a thermal anneal.
  • the treatment increases the roughness of the grain boundary of the film surface.
  • Figure 2 shows a simplified schematic illustrating grains 220 having boundaries 222 before and after treatment. The grain boundaries are rougher after treatment. This can reduce the space available for diffusion and increase the tortuosity of diffusion paths through the film.
  • operation 102 may involve forming compound molecules.
  • operation 102 can involve oxidation of a metal -containing film to form an oxide.
  • an operation is performed that includes exposure to the species (104).
  • the substrate may be exposed to a halogen species in operation 104.
  • the treated surface is less susceptible to migration of the species across it.
  • operation 104 involves deposition of a second film on deposited on the treated surface.
  • a deposition chemistry containing a deposition species such a halogen may be used, with the now treated surface less susceptible to diffusion of the halogen.
  • the treated surface may be exposed to other species during other types of operations performed on it or other areas of the substrate. Without limitation, these can include deposition, etching, treatment, patterning, and the like.
  • halogen species including fluorine (F) and chlorine (Cl
  • the methods may be used to prevent diffusion of species such as carbon (C), nitrogen (N), and phosphorous (P), or of metals such as sodium (Na), potassiu (K), calcium (Ca), and magnesiu (Mg)
  • species such as carbon (C), nitrogen (N), and phosphorous (P), or of metals such as sodium (Na), potassiu (K), calcium (Ca), and magnesiu (Mg)
  • Species can be atomic species or molecular species that include any of these.
  • fluorine species may be in the form of atomic fluorine or molecular fluorine.
  • Figures 3 and 4 show examples of film stacks that may be formed using the methods described herein.
  • Figure 3 show's an example stack of layers deposited on a substrate.
  • Substrate 300 includes a silicon layer 310, an oxide layer 312 (e.g., titanium oxide (TiO x )), tetraethyl orthosilicate (TEOS) oxide, etc.), a fluorine barrier layer 314, a tungsten nucleation layer 316, and a bulk tungsten layer 318 deposited thereon.
  • the fluorine barrier layer 314 is deposited to prevent fluorine diffusion from the bulk tungsten layer 318 and the tungsten nucleation layer 316 to the oxide layer.
  • fluorine barrier layers can include TIN layers and fluorine-free W or W-containing films. As devices shrink, barrier layers become thinner, and fluorine may still diffuse from the deposited tungsten layers. By treating the fluorine barrier layer 314 (as in operation 102), fluorine diffusion may be further reduced.
  • a similar stack may be used for other metals; for example, a stack can includes a silicon layer, an oxide layer, a fluorine barrier layer, a molybdenum (MO) nucleation layer and a molybdenum (Mo) bulk layer.
  • Figures 4A-4D are schematic examples of various structures for which the methods may be implemented during fabrication.
  • Figure 4A shows an example of a feature 401 with a liner layer 413 lining the sidewall or interior surfaces of a feature hole 405.
  • the liner layer 413 can be for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination of thereof, or any other applicable material.
  • under-layers can include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.
  • an under-layer can be one or more of Ti, TiN, W, and tungsten.
  • the liner layer 413 may be treated as described above with respect to operation 102 in Figure 1 before fill of the feature by W, Mo, or another metal.
  • Figure 4B shows a plan view' of pillars 425 in a VNAND or vertically integrated memory (VIM) structure 448, with Figure 4C showing a simplified schematic of a cross- sectional depiction of the pillars 425. Arrows in Figure 4B represent deposition material.
  • the structure 448 can be formed, for example, by depositing a stack of alternating interlayer dielectric layers 429 and sacrificial layers (not shown) on a substrate 410 and selectively etching the sacrificial layers.
  • the interlayer dielectric layers may be, for example, silicon oxide and/or silicon nitride layers, with the sacrificial layers a material selectively etchable with an etchant. This may be follow'ed by etching and deposition processes to form pillars 425, which can include channel regions of the completed memory' device.
  • the main surface of substrate 410 can extend in the x and y directions, with pillars 425 oriented in the z-direction. Pillars 425 can include an annular semi-conducting material, or circular (or square) semi-conducting material. A gate dielectric may surround the semi conducting material.
  • the area between each interlayer dielectric layer 429 can be filled with a conductive material W or Mo; thus structure 448 has a plurality of stacked horizontally- oriented features that extend in the x and/or y directions to be filled.
  • a fluorine free tungsten (FFW) liner layer may be deposited in the horizontally -oriented features, followed by a treatment operation as described with respect to operation 102 in Figure 1 , and subsequent bulk deposition of W.
  • the bulk deposition may use a fluorine- containing tungsten precursor.
  • Figure 4D depicts another example of a feature that may be filled with a metal according to embodiments disclosed herein.
  • Figure 4D depicts a schematic example of a DRAM architecture including a W or Mo buried wordline (bWL) 421 in a silicon substrate 410.
  • the bWL is formed in a trench etched in the silicon substrate 410 Lining the trench is a conformal barrier layer 413 and an insulating layer 412 that is disposed between the conformal barrier layer 413 and the silicon substrate 410.
  • the insulating layer 412 may be a gate oxide layer, formed from a high-k dielectric material such as a silicon oxide or silicon nitride material.
  • the barrier layer 413 is a tungsten or tungsten-containing layer deposited using a fluorine-free deposition.
  • a treatment operation as described with respect to operation 102 in Figure 1 may be performed with following deposition of the conformal barrier layer prior to bulk deposition of W.
  • Fluorine-free deposition may involve deposition from a chlorine-containing precursor such as WC1 5 or WCl 6 or from an organo-tungsten precursor.
  • barrier layer is a molybdenum or molybdenum-containing layer deposited using a fluorine-free deposition.
  • Fluorine-free Mo-containing precursors include molybdenum pentachJoride (M0CJ 5 ), molybdenum dichloride dioxide (MO0 2 C1 2 ), molybdenum tetrachloride oxide (M0OCI 4 ), and molybdenum hexacarbonyl (Mo(CO) 6 ).
  • Figure 5 provides a process flow diagram for a method performed in accordance with disclosed embodiments.
  • the method 500 in Figure 5 begins with exposing a substrate to an oxidizative chemistry (502).
  • the substrate has a surface on which a bulk film is to be deposited as described above with reference to operation 102 of Figure 1 and Figures 3 and 4.
  • operation 502 is performed by exposing the substrate to air during an air break.
  • it may be exposed under vacuum to an oxidative chemistry, e.g., molecular oxygen (0 2 ), water (H 2 0) vapor, ozone (O3), etc.
  • oxygen- containing compounds may be employed such as nitrogen dioxide (N0 2 ), nitrous oxide (N 2 0), hydrogen peroxide (H 2 0 2 ), carbon dioxide (C0 2 ), carbon monoxide (CO), etc.
  • the exposure may have the effect of forming an oxide at least at the surface of the film on which the bulk layer is to be deposited.
  • an oxide For example, for tungsten and tungsten-containing (e.g., WN, WC, and WCN) surfaces, a tungsten oxide may be formed, and for titanium and titanium-containing (e.g., TiN) surfaces, a titanium oxide may be formed. In some embodiments, this may increase the roughness of the grain boundaries of the surface as discussed above with reference to Figure 2.
  • the substrate is then exposed to a reducing gas (504).
  • reducing gases include hydrogen (3 ⁇ 4) or a hydrogen-containing gas such as ammonia (NH 3 ), silane (SiH 4 ), and diborane (B 2 H 6 ).
  • the exposure is during a thermal anneal.
  • the conditions are such that the gas can effectively reduce the desired surface.
  • the reduction may be done without thermal activation, for example, plasma activated reducing gas, an atomic reducing gas (e.g., atomic H), a UV-mediated exposure, or a catalytic reduction.
  • a temperature for a thermal anneal will depends on the reducing species and the surface to be reduced. For example, 3 ⁇ 4 can effectively reduce a tungsten oxide surface at temperatures of about 450°C and above.
  • Lower temperatures may be used for stronger reducing agents such as Sil L and B 4 ⁇ , ⁇ ,.
  • Very high temperatures e.g., > H00°C may be used to reduce oxide surfaces such as Si0 2 with lh.
  • resistivity can be improved by removing oxygen and/or converting oxides formed in the previous operation.
  • residual hydrogen may react with a deposition gas species in the subsequent deposition of the bulk material to further aid in reducing the species incorporation into the underlying material.
  • it may react with fluorine species to from hydrogen fluoride (HF) or chlorine species to form hydrogen chloride (HC1).
  • Operations 502 and 504 together may be a treatment operation 102 as described above with respect to Figure 1.
  • the oxidation in operation 502 may be omitted in certain embodiments, with reduction in a reducing environment reducing the grain boundaries and increasing tortuosity.
  • the reducing operation 504 may be omitted if oxidation of the surface is sufficient to roughen the grain boundaries and an oxidized surface is not otherwise undesirable.
  • a bulk layer may then be deposited on the surface (506). This is an example of operation 104 in Figure 1.
  • a thin nucleation or seed layer may be deposited prior to deposition of a bulk layer.
  • Deposition of the bulk layer can include a vapor deposition process such as an atomic layer deposition (ALD) or chemical vapor deposition (CVD) process.
  • the deposition chemistry can include a species that can be detrimental to an underlying device or film.
  • the deposition chemistry' includes a halogen species, e.g., a fluorine or chlorine species. The treated surface acts a barrier to the species, preventing it from diffusing or otherwise migrating across it.
  • FIG. 6 provides a process flow diagram for a tungsten fill method performed in accordance with disclosed embodiments.
  • tungsten is used for a variety of logic and memory applications including interconnects and wordlines.
  • Conventional deposition of tungsten has involved the use of the fluorine-containing precursor tungsten hexafluoride (WF 6 ).
  • WF 6 tungsten hexafluoride
  • the use of WF 6 results in some incorporation of fluorine into the deposited tungsten film.
  • the presence of fluorine can cause electromigration and/or fluorine diffusion into adjacent components and damages contacts, thereby reducing the performance of the device.
  • One challenge is reducing the fluorine concentration or content in the deposited tungsten film.
  • a smaller feature having the same fluorine concentration in the tungsten film as a larger feature affects the performance of the device more substantially.
  • the smaller the feature the thinner the films are deposited.
  • fluorine in the deposited tungsten film is more likely to diffuse through the thinner films, thereby potentially causing device failure.
  • the method 600 begins with an operation of exposing a fluorine-free W liner layer to an oxidant (602).
  • the method may further include depositing the fluorine-free W liner layer from a tungsten chloride (WC! X ) precursor such as WCU, WCI5, or WCL ⁇ ,.
  • WC! X tungsten chloride
  • the liner layer will serve as a barrier layer to prevent fluorine from diffusing from tungsten to other layers of the substrate such as an oxide layer.
  • the W liner layer is formed directly on an oxide surface, such as a Si0 2 or AI 2 O 3 surface.
  • an oxide surface such as a Si0 2 or AI 2 O 3 surface.
  • a barrier layer such as a TIN layer or Ti/TiN bilayer. Formation of the tungsten layer directly on an oxide is possible because the oxide is not damaged exposure to WC1 X or chlorine gas byproduct. By eliminating TiN and other barrier layers, line resistance is reduced.
  • Example anneal temperatures may range from 400°C to 600°C This operation may decrease resistivity at the interface after the oxidation.
  • a tungsten bulk film is deposited from WF 6 (606).
  • Bulk deposition often involves a chemical vapor deposition (CVD) reaction, but can also be performed using atomic layer deposition (ALD).
  • a tungsten nucleation layer may first be performed, or the bulk layer may be deposited directly on the tungsten liner layer without an intervening nucleation layer.
  • a nucleation layer is a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon.
  • the tungsten nucleation layer may be deposited to conformally coat the feature.
  • Nucleation layers are often deposited using ALD or pulsed nucleation layer (PNL) methods.
  • PNL pulses of reactant are sequentially injected and purged from the reaction chamber, typically by a pulse of a purge gas between reactants.
  • a first reactant can be adsorbed onto the substrate, available to react with the next reactant.
  • the process is repeated in a cyclical fashion until the desired thickness is achieved.
  • PNL is similar to ALD techniques
  • PNL is generally distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and its higher growth rate per cycle (greater than 1 monolayer film growth per cycle). Chamber pressure during PNL deposition may range fro about 1 Torr to about 400 Torr.
  • PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate.
  • CVD embodies processes in which reactants are together introduced to a reactor for a vapor-phase reaction. PNL and ALD processes are distinct from CVD processes and vice versa.
  • Bulk tungsten may be deposited on the nucleation layer or directly on the W liner layer by a CVD process by reducing WF 6 using a reducing agent such as hydrogen (H 2 ). Bulk tungsten is different from a tungsten nucleation layer. Bulk tungsten as used herein refers to tungsten used to fill most or all of a feature, such as at least about 50% of the feature. Unlike a nucleation layer, which is a thin conformal film that serves to facilitate the subsequent formation of a bulk material thereon, bulk tungsten is used to carry current. The bulk tungsten may be deposited to a thickness of at least 50.A. Further, in some embodiments, the bulk tungsten may be deposited by alternating pulses of WF 6 and H 2. This ALD -type process may be useful to fill large aspect ratio or complex features such as wordlines
  • FIG. 7 shows a simplified schematic that illustrates grains having boundaries 722 before and after operations 602 and 604 according to certain embodiments.
  • grain boundaries 722 prior to or without treatment are shown.
  • the film is susceptible to fluorine diffusion.
  • a treatment with 0 2 and/or H 2 0 forms WO x , which fills the grain boundaries, preventing or reducing the ability of F to diffuse through the film.
  • grain boundary roughness remains elevated after H 2 -anneal, but resistivity is reduced and fluorine diffusion is reduced due to increased grain boundary' roughness.
  • the methods described above may further include deposition of a liner layer.
  • deposition of a W liner layer may include depositing a conformal reducing agent layer on the substrate.
  • the reducing agent layer is conformal to the topography of the substrate including the feature.
  • the reducing agent layer is then exposed to a WCl x precursor, which is reduced by the reducing agent layer.
  • the conformal reducing agent layer is converted to a conformal tungsten layer.
  • the WC! X precursor may or may not be provided in the presence of hydrogen (H 2 ) gas.
  • Fluorine incorporation at an interface was measured after three processes. In each process, 120 A of bulk tungsten was deposited from WFe/Ft on a 60 A layer of fluorine-free tungsten liner deposited from WC1 X. The tungsten liner was deposited on Si0 2 . In process A, there was no air break (A/B) and no H>-anneal between the WC1 X and WF 6 depositions; in process, B, there was an H 2 -axmeal, but no A/B; in process C, there was an A/B followed by an H 2 -anneal as described with respect to Figure 6. If performed, the anneal was at 550°C. Bulk deposition was at 430°C. Fluorine and chlorine were measured at the Si0 2 /tungsten liner interface.
  • the air break reduced the F diffusion through the fluorine-free liner by 30 times.
  • An anneal without an air break did not reduce the fluorine, though chlorine w ? as reduced by 5 times.
  • the reduction in chlorine was not observed with the air break performed before the anneal, possibly due to rougher grain boundaries from the air break. Apparatus
  • Example deposition apparatuses include various systems, e.g., ALTUS ® and ALTUS ® Max, available from Lam Research Corp., of Fremont California, or any of a variety of other commercially available processing systems.
  • chemical vapor deposition (CVD) may be performed at a first station that is one of two, five, or even more deposition stations positioned within a single deposition chamber.
  • silane ( S : 1 1 4 ) and diborane (B 2 H 6 ) m y be introduced to the surface of the semiconductor substrate, at the first station, using an individual gas supply system that creates a localized atmosphere at the substrate surface to form a reducing agent layer.
  • Another station may be used for fluorine-free tungsten conversion of the reducing agent layer.
  • a treatment including exposure to an oxidant and/or an anneal may be performed at another station or in another chamber. Two or more stations may be used to fill the features with bulk tungsten in parallel processing.
  • FIG. 8 is a block diagram of a processing system suitable for conducting tungsten thin film deposition processes in accordance with embodiments.
  • the system 800 includes a transfer module 803.
  • the transfer module 803 provides a clean, pressurized environment to minimize risk of contamination of substrates being processed as they are moved between various reactor modules.
  • Mounted on the transfer module 803 is a multi-station reactor 809.
  • Multi-station reactor 809 may also be used to perform reducing agent layer deposition, fluorine-free tungsten conversion, and subsequent CVD in some embodiments.
  • Reactor 809 may include multiple stations 811 , 813, 815, and 817 that may sequentially perform operations in accordance with disclosed embodiments.
  • reactor 809 could be configured such that station 811 performs a first operation using a reducing agent, station 813 performs a second sequential operation using a WC1 X precursor, station 815 performs a treatment operation as described above and 817 performs CVD.
  • Each stations may include a heated pedestal or substrate support for independent temperature control, one or more gas inlets or showerhead or dispersion plate.
  • An example of a deposition station 900 is depicted in Figure 9, including substrate support 902 and showerhead 903. A heater may be provided in pedestal portion 901.
  • the transfer module 803 may be one or more single or multi station modules 907 capable of performing one or more of the treatment operations described herein.
  • the system 800 also includes one or more wafer source modules 801, where wafers are stored before and after processing.
  • An atmospheric robot (not shown) in the atmospheric transfer chamber 819 may first remove wafers from the source modules 801 to loadlocks 821.
  • a wafer transfer device (generally a robot arm unit) in the transfer module 803 moves the wafers from loadlocks 821 to and among the modules mounted on the transfer module 803.
  • a system controller 829 is employed to control process conditions during deposition.
  • the controller 829 will typically include one or more memory devices and one or more processors
  • a processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • the controller 829 may control all of the activities of the deposition apparatus.
  • the syste controller 829 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, wafer chuck or pedestal position, and other parameters of a particular process.
  • Other computer programs stored on memory devices associated with the controller 829 may be employed in some embodiments.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc
  • System control logic may be configured in any suitable way.
  • the logic can be designed or configured in hardware and/or software.
  • the instructions for controlling the drive circuitry may be hard coded or provided as software.
  • the instructions may be provided by‘"programming.”
  • Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware.
  • Programming is also understood to include software or firmware instructions that may he executed on a general purpose processor.
  • System control software may be coded in any suitable computer readable programming language.
  • the computer program code for controlling the reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
  • the controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 829.
  • the signals for controlling the process are output on the analog and digital output connections of the deposition apparatus 800.
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to cany out the deposition processes in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
  • a controller 829 is part of a system, which may be part of the above-described examples.
  • Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems.
  • the controller 829 may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory ' , and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller 829 may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller 829 may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer etch
  • ion implantation chamber or module ion implantation chamber or module
  • track chamber or module any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • the controller 829 may include various programs.
  • a substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target.
  • a process gas control program may include code for controlling gas composition, flow rates, pulse times, and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber.
  • a pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.
  • Lithographic patterning of a film typically includes some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a diy or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Provided are methods of treating a substrate surface to reduce diffusion of species that may be generated or provided in a subsequent operation. The substrate surface may be, for example, an oxide surface or a metal or metal compound film and may be a surface of a blanket film or of a liner film in a topographical feature according to various embodiments. Examples of treating the surface can include exposure to one or more chemical species and/or a thermal anneal. In some embodiments, the treatment increases the roughness of the grain boundary of the film surface. This can reduce the space available for diffusion and increase the tortuosity of diffusion paths through the film. In some embodiments, the treatment may involve forming compound molecules, for example, oxidation of a metal-containing film to form an oxide. The treated surface is less susceptible to diffusion of species such as halogen species.

Description

REDUCTION OF DIFFUSION ACROSS FILM
INTERFACES
INCORPOR ATION BY REFERENCE
[0001] A PCX Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCX Request Form is incorporated by reference herein in its entirety and for ail puiposes.
BACKGROUND
[0002] Vapor deposition of a film can lead to migration of species in the deposition chemistry at the interface. For certain applications, this migration can lead to poisoning of the device. For example, tungsten (W) is used for logic and memory contacts and may be deposited from tungsten hexafluoride (WF6) gas. When fluorine (F) from WIG migrates to a metal/oxide interface, it can lead to poisoning of the underlying device.
SUMMARY
[0003] One aspect of the disclosure relates to a method including: treating a surface on a substrate to increase the roughness of grain boundaries at the surface; and after increasing the roughness of the grain boundaries, depositing a film on the surface. In some embodiments, the surface is one of: tungsten, tungsten nitride, tungsten carbide, tungsten carbon nitride, titanium nitride, titanium, silicon oxide, and aluminum oxide. In some embodiments, the treatment includes exposing the surface to an oxidant. In some embodiments, the method further includes annealing the substrate in a reducing atmosphere after exposing the surface to an oxidant and prior to depositing the film. In some embodiments, the method further includes annealing the substrate after exposing the surface to an oxidant and prior to depositing the film. In some embodiments, the method further includes exposing the surface to a reducing chemistry after exposing the surface to an oxidant and prior to depositing the film. In some embodiments, the film is deposited using a fluorine-containing precursor. One example of a fluorine-containing precursor is tungsten hexafluoride. In some such embodiments, the amount of fluorine at the surface/film interface is no more than 1E19 atoms/ cm3. In some embodiments, the surface is tungsten (W) and treating the surface includes forming tungsten oxide (WOx).
[0004] Another aspect of the disclosure relates to a method including: exposing a liner layer to an oxidant; after exposing the liner layer to the oxidant, exposing the liner layer to a reducing agent; and depositing a bulk layer on the liner layer. In some embodiments, the liner layer is tungsten or a tungsten compound film. In some embodiments, the liner is a fluorine-free layer. In some embodiments, the liner layer is a titanium or titanium compound film. In some embodiments, depositing the bulk layer includes exposing the liner layer to a fluorine-containing compound. In some such embodiments, the amount of fluorine at the liner/bulk layer interface is no more than IE 19 atoms/cm3. In some embodiments, the liner layer directly contacts an oxide film.
[0005] Another aspect of the disclosure relates to apparatuses for performing the methods described herein. In some embodiments, an apparatus includes one or more stations each configured to house a substrate; a support substrate in each of the one or more stations; gas inlets configured to direct gas into each of the one or more stations; and a controller comprising program instructions for: fa) inletting an oxidant to the one or more stations to expose a substrate to the oxidant; (b) directly after (a) and before (c) inletting a reducing agent to the one or more stations to expose the substrate to the reducing agent; and after (c) inletting alternating pulses of hydrogen (H2) and WF6 to the one or more stations.
[0006] These and other aspects are described in more detail below with reference to the drawings.
BRIEF DESCRIPTION OF DRAWINGS
[0007] Figure 1 provides a process flow diagram for a method performed in accordance with disclosed embodiments.
[0008] Figure 2 shows a simplified schematic illustrating grains 220 having boundaries 222 before and after treatment.
[0009] Figure 3 shows an example stack of layers deposited on a substrate.
[0010] Figures 4A-4D are schematic examples of various structures for which the methods disclosed herein may be implemented during fabrication.
[0011] Figure 5 provides a process flow diagram for a method performed in accordance with disclosed embodiments.
[0012] Figure 6 provides a process flow diagram for a tungsten fill method performed in accordance with disclosed embodiments.
[0013] Figure 7 shows a simplified schematic illustrating of grains having boundaries before and after treatment operations according to certain embodiments
[0014] Figure 8 is a block diagram of a processing system suitable for conducting tungsten thin film deposition processes in accordance with embodiments.
[0015] Figure 9 depicts a schematic example of a deposition system.
DETAILED DESCRIPTION
[0016] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments
[0017] Figure 1 provides a process flow diagram for a method performed in accordance with disclosed embodiments. The method 100 in Figure 1 begins with treating a substrate surface to reduce diffusion of species that may be generated or provided in a subsequent operation (102). The substrate surface may be, for example, an oxide surface, such as a silicon oxide (e.g , Si02) or aluminum oxide (e.g., A1203) surface. It may also be a metal or metal compound film, with examples including tungsten (W), tungsten nitride (WN), tungsten carbon nitride (WCN), titanium, and titanium nitride (TiN) As discussed further below, in some embodiments, a liner layer of a film such as a W, WN, WCN, or TiN film is deposited, with its surface treated in operation 102. In some embodiments, the surface may be a film surface of a blanket film or a film surface of a pattern film. In some embodiments, it may be a film surface of a liner film in a topographical feature.
[0018] Examples of treating the surface are discussed further below and can include exposure to one or more chemical species and/or a thermal anneal. In some embodiments, the treatment increases the roughness of the grain boundary of the film surface. Figure 2 shows a simplified schematic illustrating grains 220 having boundaries 222 before and after treatment. The grain boundaries are rougher after treatment. This can reduce the space available for diffusion and increase the tortuosity of diffusion paths through the film. In some embodiments, operation 102 may involve forming compound molecules. For example, operation 102 can involve oxidation of a metal -containing film to form an oxide.
[0019] Returning to Figure 1, after treating the film, an operation is performed that includes exposure to the species (104). For example, the substrate may be exposed to a halogen species in operation 104. The treated surface is less susceptible to migration of the species across it. In examples described below, operation 104 involves deposition of a second film on deposited on the treated surface. A deposition chemistry containing a deposition species such a halogen may be used, with the now treated surface less susceptible to diffusion of the halogen. In other embodiments, the treated surface may be exposed to other species during other types of operations performed on it or other areas of the substrate. Without limitation, these can include deposition, etching, treatment, patterning, and the like. In addition to halogen species, including fluorine (F) and chlorine (Cl), the methods may be used to prevent diffusion of species such as carbon (C), nitrogen (N), and phosphorous (P), or of metals such as sodium (Na), potassiu (K), calcium (Ca), and magnesiu (Mg) Species can be atomic species or molecular species that include any of these. For example, fluorine species may be in the form of atomic fluorine or molecular fluorine.
[0020] Figures 3 and 4 show examples of film stacks that may be formed using the methods described herein. Figure 3 show's an example stack of layers deposited on a substrate. Substrate 300 includes a silicon layer 310, an oxide layer 312 (e.g., titanium oxide (TiOx)), tetraethyl orthosilicate (TEOS) oxide, etc.), a fluorine barrier layer 314, a tungsten nucleation layer 316, and a bulk tungsten layer 318 deposited thereon. The fluorine barrier layer 314 is deposited to prevent fluorine diffusion from the bulk tungsten layer 318 and the tungsten nucleation layer 316 to the oxide layer. Examples of fluorine barrier layers can include TIN layers and fluorine-free W or W-containing films. As devices shrink, barrier layers become thinner, and fluorine may still diffuse from the deposited tungsten layers. By treating the fluorine barrier layer 314 (as in operation 102), fluorine diffusion may be further reduced. A similar stack may be used for other metals; for example, a stack can includes a silicon layer, an oxide layer, a fluorine barrier layer, a molybdenum (MO) nucleation layer and a molybdenum (Mo) bulk layer.
[0021] In some implementations, the methods described herein may be used in fill of topographical features. For example, metal fill of features is often used in semiconductor device fabrication to form electrical contacts. Figures 4A-4D are schematic examples of various structures for which the methods may be implemented during fabrication. First, Figure 4A shows an example of a feature 401 with a liner layer 413 lining the sidewall or interior surfaces of a feature hole 405. The liner layer 413 can be for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination of thereof, or any other applicable material. Non-limiting examples of under-layers can include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers. In particular implementations an under-layer can be one or more of Ti, TiN, W, and tungsten. In some embodiments, the liner layer 413 may be treated as described above with respect to operation 102 in Figure 1 before fill of the feature by W, Mo, or another metal.
[0022] Horizontal features, such as in 3-D memory structures, can also be filled. Figure 4B, for example, shows a plan view' of pillars 425 in a VNAND or vertically integrated memory (VIM) structure 448, with Figure 4C showing a simplified schematic of a cross- sectional depiction of the pillars 425. Arrows in Figure 4B represent deposition material. The structure 448 can be formed, for example, by depositing a stack of alternating interlayer dielectric layers 429 and sacrificial layers (not shown) on a substrate 410 and selectively etching the sacrificial layers. The interlayer dielectric layers may be, for example, silicon oxide and/or silicon nitride layers, with the sacrificial layers a material selectively etchable with an etchant. This may be follow'ed by etching and deposition processes to form pillars 425, which can include channel regions of the completed memory' device.
[0023] The main surface of substrate 410 can extend in the x and y directions, with pillars 425 oriented in the z-direction. Pillars 425 can include an annular semi-conducting material, or circular (or square) semi-conducting material. A gate dielectric may surround the semi conducting material. The area between each interlayer dielectric layer 429 can be filled with a conductive material W or Mo; thus structure 448 has a plurality of stacked horizontally- oriented features that extend in the x and/or y directions to be filled. In some embodiments, a fluorine free tungsten (FFW) liner layer may be deposited in the horizontally -oriented features, followed by a treatment operation as described with respect to operation 102 in Figure 1 , and subsequent bulk deposition of W. The bulk deposition may use a fluorine- containing tungsten precursor.
[0024] Figure 4D depicts another example of a feature that may be filled with a metal according to embodiments disclosed herein. In particular, Figure 4D depicts a schematic example of a DRAM architecture including a W or Mo buried wordline (bWL) 421 in a silicon substrate 410. The bWL is formed in a trench etched in the silicon substrate 410 Lining the trench is a conformal barrier layer 413 and an insulating layer 412 that is disposed between the conformal barrier layer 413 and the silicon substrate 410. In the example of Figure 4D, the insulating layer 412 may be a gate oxide layer, formed from a high-k dielectric material such as a silicon oxide or silicon nitride material.
[0025] TIN can be used as a barrier in wordline applications. However, TiN/W wordline fill is limited by the resistivity scaling; because TiN has relatively high resistivity, as dimensions decrease and TiN conformal layers occupy a greater volume fraction of the trench, the resistance increases. In some embodiments, the barrier layer 413 is a tungsten or tungsten-containing layer deposited using a fluorine-free deposition. A treatment operation as described with respect to operation 102 in Figure 1 may be performed with following deposition of the conformal barrier layer prior to bulk deposition of W. Fluorine-free deposition may involve deposition from a chlorine-containing precursor such as WC15 or WCl6 or from an organo-tungsten precursor. In some embodiments barrier layer is a molybdenum or molybdenum-containing layer deposited using a fluorine-free deposition. Fluorine-free Mo-containing precursors include molybdenum pentachJoride (M0CJ5), molybdenum dichloride dioxide (MO02C12), molybdenum tetrachloride oxide (M0OCI4), and molybdenum hexacarbonyl (Mo(CO)6).
[0026] Figure 5 provides a process flow diagram for a method performed in accordance with disclosed embodiments. The method 500 in Figure 5 begins with exposing a substrate to an oxidizative chemistry (502). The substrate has a surface on which a bulk film is to be deposited as described above with reference to operation 102 of Figure 1 and Figures 3 and 4.
[0027] In some embodiments, operation 502 is performed by exposing the substrate to air during an air break. Alternatively, it may be exposed under vacuum to an oxidative chemistry, e.g., molecular oxygen (02), water (H20) vapor, ozone (O3), etc. Other oxygen- containing compounds may be employed such as nitrogen dioxide (N02), nitrous oxide (N20), hydrogen peroxide (H202), carbon dioxide (C02), carbon monoxide (CO), etc.
[0028] In some embodiments, the exposure may have the effect of forming an oxide at least at the surface of the film on which the bulk layer is to be deposited. For example, for tungsten and tungsten-containing (e.g., WN, WC, and WCN) surfaces, a tungsten oxide may be formed, and for titanium and titanium-containing (e.g., TiN) surfaces, a titanium oxide may be formed. In some embodiments, this may increase the roughness of the grain boundaries of the surface as discussed above with reference to Figure 2. The substrate is then exposed to a reducing gas (504). Examples of reducing gases include hydrogen (¾) or a hydrogen-containing gas such as ammonia (NH3), silane (SiH4), and diborane (B2H6). In some embodiments, the exposure is during a thermal anneal. The conditions are such that the gas can effectively reduce the desired surface. In some embodiments, the reduction may be done without thermal activation, for example, plasma activated reducing gas, an atomic reducing gas (e.g., atomic H), a UV-mediated exposure, or a catalytic reduction. If performed, a temperature for a thermal anneal will depends on the reducing species and the surface to be reduced. For example, ¾ can effectively reduce a tungsten oxide surface at temperatures of about 450°C and above. Lower temperatures (e.g., 30Q°C) may be used for stronger reducing agents such as Sil L and B 4 {,·,. Very high temperatures (e.g., > H00°C) may be used to reduce oxide surfaces such as Si02 with lh.
[0029] For metal contacts, resistivity can be improved by removing oxygen and/or converting oxides formed in the previous operation. In some embodiments, residual hydrogen may react with a deposition gas species in the subsequent deposition of the bulk material to further aid in reducing the species incorporation into the underlying material. For example, it may react with fluorine species to from hydrogen fluoride (HF) or chlorine species to form hydrogen chloride (HC1). Operations 502 and 504 together (or operation 502 alone if operation 504 is not performed) may be a treatment operation 102 as described above with respect to Figure 1.
[0030] For oxide surfaces such as A1203 and Si02 surfaces, the oxidation in operation 502 may be omitted in certain embodiments, with reduction in a reducing environment reducing the grain boundaries and increasing tortuosity. For surfaces such as metal-containing surfaces that can oxidized, in some embodiments, the reducing operation 504 may be omitted if oxidation of the surface is sufficient to roughen the grain boundaries and an oxidized surface is not otherwise undesirable.
[0031] A bulk layer may then be deposited on the surface (506). This is an example of operation 104 in Figure 1. According to various embodiments, a thin nucleation or seed layer may be deposited prior to deposition of a bulk layer. Deposition of the bulk layer can include a vapor deposition process such as an atomic layer deposition (ALD) or chemical vapor deposition (CVD) process. As indicated above, the deposition chemistry can include a species that can be detrimental to an underlying device or film. In some embodiments, the deposition chemistry' includes a halogen species, e.g., a fluorine or chlorine species. The treated surface acts a barrier to the species, preventing it from diffusing or otherwise migrating across it.
[0032] Figure 6 provides a process flow diagram for a tungsten fill method performed in accordance with disclosed embodiments. As described above, tungsten is used for a variety of logic and memory applications including interconnects and wordlines. There are various challenges in tungsten fill as devices scale to smaller technology nodes and more complex patterning structures are used. Conventional deposition of tungsten has involved the use of the fluorine-containing precursor tungsten hexafluoride (WF6). However, the use of WF6 results in some incorporation of fluorine into the deposited tungsten film. The presence of fluorine can cause electromigration and/or fluorine diffusion into adjacent components and damages contacts, thereby reducing the performance of the device. One challenge is reducing the fluorine concentration or content in the deposited tungsten film. As compared to larger features, a smaller feature having the same fluorine concentration in the tungsten film as a larger feature affects the performance of the device more substantially. For example, the smaller the feature, the thinner the films are deposited. As a result, fluorine in the deposited tungsten film is more likely to diffuse through the thinner films, thereby potentially causing device failure.
[0033] In Figure 6, the method 600 begins with an operation of exposing a fluorine-free W liner layer to an oxidant (602). In certain embodiments, the method may further include depositing the fluorine-free W liner layer from a tungsten chloride (WC!X) precursor such as WCU, WCI5, or WCL·,. The liner layer will serve as a barrier layer to prevent fluorine from diffusing from tungsten to other layers of the substrate such as an oxide layer.
[0034] In some embodiments, the W liner layer is formed directly on an oxide surface, such as a Si02 or AI2O3 surface. This eliminates the need for a barrier layer such as a TIN layer or Ti/TiN bilayer. Formation of the tungsten layer directly on an oxide is possible because the oxide is not damaged exposure to WC1X or chlorine gas byproduct. By eliminating TiN and other barrier layers, line resistance is reduced.
[0035] Next, the fluorine-free W liner layer is exposed to a reducing gas during a thermal anneal (604). Example anneal temperatures may range from 400°C to 600°C This operation may decrease resistivity at the interface after the oxidation.
[0036] After the treatment of the W liner layer in operations 602 and 604, a tungsten bulk film is deposited from WF6 (606). Bulk deposition often involves a chemical vapor deposition (CVD) reaction, but can also be performed using atomic layer deposition (ALD). According to various embodiments, a tungsten nucleation layer may first be performed, or the bulk layer may be deposited directly on the tungsten liner layer without an intervening nucleation layer. A nucleation layer is a thin conformal layer that serves to facilitate the subsequent formation of a bulk material thereon. The tungsten nucleation layer may be deposited to conformally coat the feature. Nucleation layers are often deposited using ALD or pulsed nucleation layer (PNL) methods.
[0037] In a PNL technique, pulses of reactant are sequentially injected and purged from the reaction chamber, typically by a pulse of a purge gas between reactants. A first reactant can be adsorbed onto the substrate, available to react with the next reactant. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL is similar to ALD techniques PNL is generally distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and its higher growth rate per cycle (greater than 1 monolayer film growth per cycle). Chamber pressure during PNL deposition may range fro about 1 Torr to about 400 Torr. In the context of the description provided herein, PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate. Thus, the concept embodies techniques conventionally referred to as ALD In the context of the disclosed embodiments, CVD embodies processes in which reactants are together introduced to a reactor for a vapor-phase reaction. PNL and ALD processes are distinct from CVD processes and vice versa.
[0038] Bulk tungsten may be deposited on the nucleation layer or directly on the W liner layer by a CVD process by reducing WF6 using a reducing agent such as hydrogen (H2). Bulk tungsten is different from a tungsten nucleation layer. Bulk tungsten as used herein refers to tungsten used to fill most or all of a feature, such as at least about 50% of the feature. Unlike a nucleation layer, which is a thin conformal film that serves to facilitate the subsequent formation of a bulk material thereon, bulk tungsten is used to carry current. The bulk tungsten may be deposited to a thickness of at least 50.A. Further, in some embodiments, the bulk tungsten may be deposited by alternating pulses of WF6 and H2. This ALD -type process may be useful to fill large aspect ratio or complex features such as wordlines
[0039] Figure 7 shows a simplified schematic that illustrates grains having boundaries 722 before and after operations 602 and 604 according to certain embodiments. At 710, grain boundaries 722 prior to or without treatment are shown. As depicted, the film is susceptible to fluorine diffusion. Then, at 720, a treatment with 02 and/or H20 forms WOx, which fills the grain boundaries, preventing or reducing the ability of F to diffuse through the film. At 740, grain boundary roughness remains elevated after H2-anneal, but resistivity is reduced and fluorine diffusion is reduced due to increased grain boundary' roughness.
[0040] As noted above, in some embodiments, the methods described above may further include deposition of a liner layer. In some such embodiments, deposition of a W liner layer may include depositing a conformal reducing agent layer on the substrate. The reducing agent layer is conformal to the topography of the substrate including the feature. The reducing agent layer is then exposed to a WClx precursor, which is reduced by the reducing agent layer. The conformal reducing agent layer is converted to a conformal tungsten layer. According to various embodiments, the WC!X precursor may or may not be provided in the presence of hydrogen (H2) gas.
Experimental
[0041] Fluorine incorporation at an interface was measured after three processes. In each process, 120 A of bulk tungsten was deposited from WFe/Ft on a 60 A layer of fluorine-free tungsten liner deposited from WC1X. The tungsten liner was deposited on Si02. In process A, there was no air break (A/B) and no H>-anneal between the WC1X and WF6 depositions; in process, B, there was an H2-axmeal, but no A/B; in process C, there was an A/B followed by an H2-anneal as described with respect to Figure 6. If performed, the anneal was at 550°C. Bulk deposition was at 430°C. Fluorine and chlorine were measured at the Si02/tungsten liner interface.
Figure imgf000012_0001
[0042] Notably, the air break reduced the F diffusion through the fluorine-free liner by 30 times. An anneal without an air break did not reduce the fluorine, though chlorine w?as reduced by 5 times. The reduction in chlorine was not observed with the air break performed before the anneal, possibly due to rougher grain boundaries from the air break. Apparatus
[0043] Any suitable chamber may be used to implement the disclosed embodiments. Example deposition apparatuses include various systems, e.g., ALTUS® and ALTUS® Max, available from Lam Research Corp., of Fremont California, or any of a variety of other commercially available processing systems. In some embodiments, chemical vapor deposition (CVD) may be performed at a first station that is one of two, five, or even more deposition stations positioned within a single deposition chamber. Thus, for example, silane ( S : 1 14 ) and diborane (B2H6) m y be introduced to the surface of the semiconductor substrate, at the first station, using an individual gas supply system that creates a localized atmosphere at the substrate surface to form a reducing agent layer. Another station may be used for fluorine-free tungsten conversion of the reducing agent layer. A treatment including exposure to an oxidant and/or an anneal may be performed at another station or in another chamber. Two or more stations may be used to fill the features with bulk tungsten in parallel processing.
[0044] Figure 8 is a block diagram of a processing system suitable for conducting tungsten thin film deposition processes in accordance with embodiments. The system 800 includes a transfer module 803. The transfer module 803 provides a clean, pressurized environment to minimize risk of contamination of substrates being processed as they are moved between various reactor modules. Mounted on the transfer module 803 is a multi-station reactor 809. Multi-station reactor 809 may also be used to perform reducing agent layer deposition, fluorine-free tungsten conversion, and subsequent CVD in some embodiments. Reactor 809 may include multiple stations 811 , 813, 815, and 817 that may sequentially perform operations in accordance with disclosed embodiments. For example, reactor 809 could be configured such that station 811 performs a first operation using a reducing agent, station 813 performs a second sequential operation using a WC1X precursor, station 815 performs a treatment operation as described above and 817 performs CVD. Each stations may include a heated pedestal or substrate support for independent temperature control, one or more gas inlets or showerhead or dispersion plate. An example of a deposition station 900 is depicted in Figure 9, including substrate support 902 and showerhead 903. A heater may be provided in pedestal portion 901.
[0045] Also mounted on the transfer module 803 may be one or more single or multi station modules 907 capable of performing one or more of the treatment operations described herein. The system 800 also includes one or more wafer source modules 801, where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 819 may first remove wafers from the source modules 801 to loadlocks 821. A wafer transfer device (generally a robot arm unit) in the transfer module 803 moves the wafers from loadlocks 821 to and among the modules mounted on the transfer module 803.
[0046] In various embodiments, a system controller 829 is employed to control process conditions during deposition. The controller 829 will typically include one or more memory devices and one or more processors A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
[0047] The controller 829 may control all of the activities of the deposition apparatus. The syste controller 829 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller 829 may be employed in some embodiments.
[0048] Typically there will be a user interface associated with the controller 829. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc
[0049] System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by‘"programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may he executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language.
[0050] The computer program code for controlling the reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
[0051] The controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.
[0052] Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 829. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus 800.
[0053] The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to cany out the deposition processes in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
[0054] In some implementations, a controller 829 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The controller 829, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
[0055] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory', and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
[0056] The controller 829, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller 829 may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0057] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a CVD chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
[0058] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
[0059] The controller 829 may include various programs. A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition, flow rates, pulse times, and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck.
[0060] Examples of chamber sensors that may be monitored during deposition include mass flow controllers, pressure sensors such as manometers, and thermocouples located in the pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions.
[0061] The foregoing describes implementation of disclosed embodiments in a single or multi-chamber semiconductor processing tool. The apparatus and process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a diy or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
CONCLUSION
[0062] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims

CLAIMS What is claimed is:
1. A method comprising:
treating a surface on a substrate to increase roughness of grain boundaries at the surface; and
after increasing the roughness of the grain boundaries, depositing a film on the surface
2. The method of claim 1, wherein the surface is one of: tungsten, tungsten nitride, tungsten carbide, tungsten carbon nitride, titanium nitride, titanium, silicon oxide, and aluminum oxide
3. The method of claim 1, wherein the treatment compri ses exposing the surface to an oxidant.
4. The method of claim 3, further comprising annealing the substrate in a reducing atmosphere after exposing the surface to an oxidant and prior to depositing the film.
5. The method of claim 3, further comprising annealing the substrate after exposing the surface to an oxidant and prior to depositing the film.
6. The method of claim 3, further comprising exposing the surface to a reducing chemistry after exposing the surface to an oxidant and prior to depositing the film
7. The method of claim 1, wherein the film is deposited using a fluorine-containing precursor.
8. The method of claim 7, wherein the fluorine-containing precursor is tungsten hexafluoride.
9. The method of claim 7, wherein the amount of fluorine at the surface/film interface is no more than 1 E19 atoms/cm .
10. The method of claim 1, wherein the surface is tungsten (W) and treating the surface comprises forming tungsten oxide (WOx).
11. A method comprising:
exposing a liner layer to an oxidant;
after exposing the liner layer to the oxidant, exposing the liner layer to a reducing agent; and
depositing a bulk layer on the liner layer.
12. The method of claim 11, wherein the liner layer is tungsten or a tungsten compound film.
13. The method of claim 12, wherein the liner layer is a fluorine-free layer.
14. The method of claim 1 1, wherein the liner layer is a titanium or titanium compound film.
15. The method of claim 11, wherein depositing the bulk layer comprises exposing the liner layer to a fluorine-containing compound.
16. The method of claim 15, wherein the amount of fluorine at the iiner/bulk layer interface is no more than IE 19 atoms/cm3.
17. The method of claim 15, wherein the liner layer directly contacts an oxide film.
18. An apparatus comprising:
one or more stations each configured to house a substrate;
a support substrate in each of the one or more stations;
gas inlets configured to direct gas into each of the one or more stations; and
a controller comprising program instructions for:
(a) inletting an oxidant to the one or more stations to expose a substrate to the oxidant; (h) directly after (a) and before (c) inletting a reducing agent to the one or more stations to expose the substrate to the reducing agent, and
after (c) inletting alternating pulses of hydrogen (H2) and WF6 to the one or more stations.
PCT/US2019/035875 2018-06-07 2019-06-06 Reduction of diffusion across film interfaces WO2019236909A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201980038073.0A CN112218975A (en) 2018-06-07 2019-06-06 Reducing diffusion across the membrane interface
KR1020217000246A KR20210007031A (en) 2018-06-07 2019-06-06 Reduction of diffusion across membrane interfaces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862682061P 2018-06-07 2018-06-07
US62/682,061 2018-06-07

Publications (1)

Publication Number Publication Date
WO2019236909A1 true WO2019236909A1 (en) 2019-12-12

Family

ID=68769220

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/035875 WO2019236909A1 (en) 2018-06-07 2019-06-06 Reduction of diffusion across film interfaces

Country Status (3)

Country Link
KR (1) KR20210007031A (en)
CN (1) CN112218975A (en)
WO (1) WO2019236909A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100267235A1 (en) * 2009-04-16 2010-10-21 Feng Chen Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US20130037913A1 (en) * 2010-02-19 2013-02-14 Intermolecular Inc. Inexpensive electrode materials to facilitate rutile phase titanium oxide
US20140073135A1 (en) * 2012-09-09 2014-03-13 Yan Guan Method for depositing tungsten film with low roughness and low resistivity
US20140147589A1 (en) * 2012-11-26 2014-05-29 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US20150345017A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. In-situ corrosion resistant substrate support coating

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE337065B (en) * 1967-03-07 1971-07-26 Varian Associates
DE69706850T2 (en) * 1996-06-13 2002-05-16 Siemens Ag ARTICLE WITH PROTECTIVE LAYER CONTAINING AN IMPROVED ANCHOR LAYER AND ITS PRODUCTION
WO2003103352A1 (en) * 2002-06-04 2003-12-11 住友電気工業株式会社 Board for printed wiring, printed wiring board, and method for manufacturing them
JP5488951B2 (en) * 2007-12-10 2014-05-14 Nltテクノロジー株式会社 Liquid crystal display device and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100267235A1 (en) * 2009-04-16 2010-10-21 Feng Chen Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US20130037913A1 (en) * 2010-02-19 2013-02-14 Intermolecular Inc. Inexpensive electrode materials to facilitate rutile phase titanium oxide
US20140073135A1 (en) * 2012-09-09 2014-03-13 Yan Guan Method for depositing tungsten film with low roughness and low resistivity
US20140147589A1 (en) * 2012-11-26 2014-05-29 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US20150345017A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. In-situ corrosion resistant substrate support coating

Also Published As

Publication number Publication date
CN112218975A (en) 2021-01-12
KR20210007031A (en) 2021-01-19

Similar Documents

Publication Publication Date Title
US20230290680A1 (en) Self-limiting growth
US10529722B2 (en) Tungsten for wordline applications
US9595470B2 (en) Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20210140043A1 (en) Deposition of pure metal films
US20200144066A1 (en) Method for preventing line bending during metal fill process
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR20160140448A (en) Deposition of low fluorine tungsten by sequential cvd process
KR20220082023A (en) Molybdenum filling
US11970776B2 (en) Atomic layer deposition of metal films
US20220359211A1 (en) Molybdenum templates for tungsten
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
WO2020236749A1 (en) Nucleation-free tungsten deposition
US20240006180A1 (en) Low resistance pulsed cvd tungsten
WO2019236909A1 (en) Reduction of diffusion across film interfaces
WO2023038905A1 (en) Process gas ramp during semiconductor processing

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19815720

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217000246

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19815720

Country of ref document: EP

Kind code of ref document: A1