WO2013161772A1 - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
WO2013161772A1
WO2013161772A1 PCT/JP2013/061810 JP2013061810W WO2013161772A1 WO 2013161772 A1 WO2013161772 A1 WO 2013161772A1 JP 2013061810 W JP2013061810 W JP 2013061810W WO 2013161772 A1 WO2013161772 A1 WO 2013161772A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
gas
source gas
film forming
insulating film
Prior art date
Application number
PCT/JP2013/061810
Other languages
English (en)
French (fr)
Inventor
吉平 杉田
橋本 浩幸
原田 宗生
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US14/396,116 priority Critical patent/US9349584B2/en
Priority to KR1020147029647A priority patent/KR101607802B1/ko
Publication of WO2013161772A1 publication Critical patent/WO2013161772A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2490/00Intermixed layers
    • B05D2490/50Intermixed layers compositions varying with a gradient perpendicular to the surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Definitions

  • the present invention relates to a film forming method and a film forming apparatus for forming an insulating film made of a polymer thin film.
  • a desired device is manufactured by repeatedly performing various processes such as a film forming process, a patterning process, and a dry etching process on a substrate such as a semiconductor wafer.
  • various processes such as a film forming process, a patterning process, and a dry etching process
  • the line width and the hole diameter have been increasingly miniaturized due to the demand for further higher integration and miniaturization of semiconductor devices.
  • the wiring layer has a multi-wiring structure such as an eight-layer structure.
  • the wiring material and the embedding material there is a tendency to use copper which has a very low electric resistance and is inexpensive because it is necessary to reduce the electric resistance by miniaturizing various dimensions (for example, see Patent Document 1). .
  • tantalum metal Ti
  • titanium Ti
  • tantalum nitride in consideration of adhesion to the lower layer and prevention of copper diffusion.
  • a film (TaN), a titanium nitride film (TiN) or the like is interposed as a barrier layer.
  • FIGS. 1A and 1B are views for explaining a part of a conventional embedding process of a concave portion of an object to be processed.
  • FIG. 1A shows a part of a process in the middle of forming a TSV (Through Silicon Via) structure used when three-dimensionally mounting an integrated circuit.
  • TSV Three Silicon Via
  • a semiconductor element such as a transistor or a wiring layer thereof is formed on the surface of a substrate 4 made of a disk-shaped silicon substrate having a thickness of about 0.7 mm.
  • the conductive layer 6 is formed, and the entire surface of the semiconductor element and the conductive layer 6 is covered with a protective insulating layer 8.
  • the back surface side of the substrate 4 is polished (back grind) to reduce the thickness of the substrate 4 to about 0.1 mm, and this is turned upside down (reversely).
  • a recess 10 is formed by etching or the like from the back side (upper side in the figure) to the conductive layer 6.
  • the conductive layer 6 is exposed at the bottom of the recess 10.
  • the recess 10 becomes a through hole for contact with the lead electrode of the semiconductor element, a via hole for connection between wiring layers, or the like.
  • the concave portion 10 is filled with a copper film for conduction when a semiconductor element or the like is further formed on the upper surface side of the inverted substrate 4.
  • an insulating film 12 is formed on the entire surface and the entire sidewall in the recess 10 in order to ensure insulation against the substrate 4.
  • a barrier film 14 for preventing copper diffusion is formed.
  • the recessed metal 10 is embedded by forming the embedded metal film 16.
  • the insulating film 12 for example, SiO2 made of TEOS (tetraethylorthosilicate) is used. A membrane is used.
  • Ti, Ta, nitride films thereof (TiN, TaN), or the like is used.
  • a copper film is used as the buried metal film 16.
  • One embodiment of the present invention is a film forming method and a film forming apparatus that can be applied to filling a recess with a high aspect ratio and that can provide a polymer thin film insulating film that also has a barrier property.
  • a film forming method which includes a first source gas and an diamine made of an acid anhydride in a processing container that contains an object to be processed and is evacuated. And an insulating film made of a polymer thin film is formed on the surface of the object to be processed, and the supply of the second source gas into the processing container is stopped and the first source gas is stopped. One source gas is continuously supplied into the processing container, and the insulating film is modified to give the insulating film a barrier function.
  • a film forming apparatus including a processing container that accommodates an object to be processed, a holding unit that holds the object to be processed in the processing container, A vacuum exhaust system for evacuating the inside of the processing vessel, a first gas supply means for supplying a first source gas made of acid anhydride into the processing vessel, and a second source gas made of diamine are supplied.
  • a second gas supply unit ; a heating unit configured to heat the object to be processed; and an apparatus control unit configured to control the entire apparatus.
  • the apparatus control unit supplies the first source gas from the first gas supply unit and also supplies the second source gas from the second source gas supply unit to supply the surface of the object to be processed.
  • An insulating film made of a polymer thin film is formed, and then the first source gas is continuously supplied from the first gas supply means, and the second source gas is supplied from the second gas supply means. Control to stop the supply.
  • the insulating film can be provided with a barrier function by modifying the insulating film. Accordingly, it is possible to form an insulating film of a polymer thin film that can be applied to filling a concave portion with a high aspect ratio and also has a barrier property.
  • FIG. 2 is a longitudinal sectional view showing an example of a film forming apparatus according to the present invention
  • FIG. 3 is a transverse sectional view showing a film forming apparatus (heating means is omitted).
  • the film forming apparatus 20 includes a cylindrical inner cylinder 22 having a dome-shaped ceiling and a cylindrical outer cylinder 24 having a dome-shaped ceiling concentrically arranged on the outer side thereof. And a processing container 26 having a double cylinder structure. Both the inner cylinder 22 and the outer cylinder 24 are made of a heat-resistant material such as quartz. The lower end of the processing container 26 is connected to and supported by a cylindrical manifold 30 made of, for example, stainless steel via a seal member 28 such as an O-ring. The lower end portion of the inner cylinder 22 is supported on a support ring 32 attached to the inner wall of the manifold 30. There is also an apparatus in which a stainless-steel manifold 30 is not provided and the whole is formed of a cylindrical quartz processing vessel.
  • the manifold 30 is formed in a cylindrical shape, and a quartz wafer boat 34 as a holding means on which a large number of disk-like objects 2 are placed in multiple stages from below the manifold 30 can be moved up and down. It is made removable. In the case of the present embodiment, for example, about 50 to 150 pieces of the object to be processed 2 having a diameter of 300 mm can be supported in multiple stages at substantially equal pitches on the support 34A of the wafer boat 34.
  • the wafer boat 34 is placed on a table 38 via a quartz heat insulating cylinder 36, and the table 38 passes through a lid 40 made of, for example, stainless steel that opens and closes the lower end opening of the manifold 30. It is supported on the rotating shaft 42.
  • a magnetic fluid seal 44 is interposed in the penetrating portion of the rotating shaft 42 and supports the rotating shaft 42 so as to be rotatable while hermetically sealing.
  • a seal member 46 made of, for example, an O-ring is interposed between the peripheral portion of the lid portion 40 and the lower end portion of the manifold 30 to maintain the sealing performance in the processing container 26.
  • the rotating shaft 42 is attached to the tip of an arm 47 supported by an elevating mechanism (not shown) such as a boat elevator, for example, and moves up and down integrally with the wafer boat 34 and the lid 40. 26 can be inserted and removed.
  • the table 38 may be fixedly provided on the lid 40 side so that the object to be processed 2 can be processed without rotating the wafer boat 34.
  • the processing vessel 26 is provided with a gas introduction part 48.
  • the gas introduction part 48 has a plurality of, here two gas dispersion nozzles 50 and 52, each of which is made of a quartz tube that extends inwardly through the side wall of the manifold 30. ing.
  • Each gas dispersion nozzle 50, 52 is formed with a plurality of (many) gas injection holes 50A, 52A at a predetermined interval along the length direction thereof, and the horizontal direction from each gas injection hole 50A, 52A. The gas can be injected almost uniformly toward the center.
  • a nozzle accommodating recess 54 (see FIG. 3) is formed in a part of the side wall of the inner cylinder 22 of the processing container 26 along the height direction. Further, an exhaust port 56 for evacuating the internal atmosphere is provided on the opposite side of the processing container 26 facing the nozzle housing recess 54.
  • the exhaust port 56 may be formed to be elongated by scraping in the vertical direction, for example, or a number of slits extending in the horizontal direction may be formed in the vertical direction.
  • the nozzle accommodating recess 54 forms a vertically elongated opening 58 by scraping the side wall of the processing container 26 with a predetermined width along the vertical direction, and covers the opening 58 from the outside.
  • the gas dispersion nozzles 50 and 52 are provided side by side in the nozzle housing recess 54.
  • a gas outlet 62 communicating with the exhaust port 56 is formed on the side wall above the support ring 32 of the manifold 30, and the atmosphere in the inner cylinder 22 is communicated with the inner cylinder via the exhaust port 56.
  • the gas is discharged into the gap between the outer cylinder 22 and the outer cylinder 24 and reaches the gas outlet 62.
  • the gas outlet 62 is provided with an evacuation system 64.
  • the evacuation system 64 has an exhaust passage 66 connected to the gas outlet 62, and a pressure adjustment valve 68 and a vacuum pump 70 are interposed in the exhaust passage 66, and the inside of the processing vessel 26 is passed through. While maintaining a predetermined pressure, a vacuum is drawn.
  • the cylindrical heating means 72 which heats this process container 26 and this to-be-processed object 2 is provided so that the outer periphery of this process container 26 may be enclosed.
  • a gas supply means 74 is provided for supplying the gas necessary for the film forming process to the processing container 26.
  • a first source gas supply system 76 that supplies a first source gas
  • a second source gas supply system 78 that supplies a second source gas
  • a purge gas supply system 80 that supplies a purge gas
  • the first source gas supply system 76 includes a first source storage tank 84 that stores a first source 82 made of an acid anhydride that is in a liquid state at room temperature.
  • the first raw material storage tank 84 is also referred to as an ampoule or a reservoir.
  • Examples of the acid anhydride that is the first raw material 82 include pyromellitic dianhydride, oxydiphthalic dianhydride, biphthalic anhydride, carbonyldiphthalic anhydride, diphthalic anhydride, and sulfonyldiphthalic anhydride.
  • One or more materials selected from the group consisting of a product, cyclohexanetetracarboxylic dianhydride, cyclopentanetetracarboxylic dianhydride, and cyclobutanetetracarboxylic dianhydride can be used.
  • pyromellitic dianhydride (PMDA) is used.
  • the first raw material storage tank 84 is provided with a raw material heater 86 that forms a first raw material gas by heating and vaporizing the first raw material 82 within a range not thermally decomposing. For example, it is heated to about 200 to 260 ° C.
  • the first raw material storage tank 84 includes a gas supply unit 88 that supplies a carrier gas that conveys the first raw material gas, and a gas outflow unit 90 that flows out the first raw material gas along with the carrier gas. Is provided.
  • the gas supply unit 88 and the gas outflow unit 90 are both provided on the ceiling of the first raw material storage tank 84.
  • a first source gas passage 92 is provided.
  • An opening / closing valve 94 is interposed in the middle of the first raw material gas passage 92 to control the flow of the first raw material gas.
  • the gas outlet on the upstream side of the first raw material gas passage 92 is positioned so as to face the upper space in the first raw material storage tank 84, and the first raw material gas generated here Can be discharged together with the carrier gas.
  • the first source gas passage 92 is provided with a passage heater (not shown) such as a tape heater along the first source gas passage 92.
  • the first source gas passage 92 is heated to about 260 to 300 ° C., for example. Thus, the first source gas is prevented from being liquefied or solidified.
  • a carrier gas passage 96 for introducing a carrier gas into the first raw material storage tank 84 is connected to the gas supply unit 88 of the first raw material storage tank 84.
  • the gas supply port of the gas supply unit 88 is located so as to face the upper space in the first raw material storage tank 84.
  • a flow rate controller 98 such as a mass flow controller for controlling the gas flow rate from the upstream side toward the downstream side and an on-off valve 100 are sequentially provided.
  • N 2 gas is used as the carrier gas.
  • the present invention is not limited to this, and other rare gases such as He and Ar may be used.
  • the second source gas supply system 78 has a second source storage tank 104 that stores the second source 102 made of diamine that is in a liquid state at room temperature.
  • the second raw material storage tank 104 is also referred to as an ampoule or a reservoir.
  • Examples of the diamine that is the second raw material 102 include oxydianiline, diaminodecane, ethylenediamine, diaminoundecane, trimethylenediamine, diaminododecane, diaminobutane, hexohesolopropane, diaminopentane, thiodianiline, aminophenyl sulfide, and the like.
  • One or more materials selected from the group consisting of diaminohexane, diaminodiphenylsulfone, heptenediamine, diaminobenzophenone, diaminooctane, diaminononane, diaminocyclohexylmethane, and methylcyclohexylamine can be used.
  • oxydianiline (ODA) is used.
  • the second raw material storage tank 104 is provided with a raw material heater 106.
  • the raw material heater 106 forms the second raw material gas by heating and vaporizing the second raw material 102 within a range not thermally decomposing.
  • the raw material heater 106 is heated to about 130 to 220 ° C., for example.
  • the second raw material storage tank 104 includes a gas supply unit 108 that supplies a carrier gas that conveys the second raw material gas, and a gas outflow unit 110 that discharges the second raw material gas along with the carrier gas. Is provided.
  • both the gas supply unit 108 and the gas outflow unit 100 are provided on the ceiling of the first raw material storage tank 104.
  • a second source gas passage 112 is provided.
  • the first source gas passage 92 and the second source gas passage 112 are connected to each other on the downstream side and used as a common passage, and the first source gas and the second source gas are used in common. Gas is mixed on the way.
  • An on-off valve 114 is interposed in the middle of the second source gas passage 112 so as to control the flow of the second source gas.
  • the gas outlet on the upstream side of the second raw material gas passage 112 is positioned so as to face the upper space in the second raw material storage tank 104, and the second raw material gas generated here Can be discharged together with the carrier gas.
  • the second source gas passage 112 is provided with a passage heater (not shown) such as a tape heater along the second source gas passage 112.
  • the second source gas passage 112 is heated to about 260 to 300 ° C., for example. This prevents the second source gas from being liquefied.
  • a carrier gas passage 116 for introducing a carrier gas into the second raw material storage tank 104 is connected to the gas supply unit 108 of the second raw material storage tank 104.
  • the gas supply port of the gas supply unit 108 is positioned so as to face the upper space in the second raw material storage tank 104.
  • a flow controller 118 such as a mass flow controller for controlling the gas flow rate from the upstream side to the downstream side and an on-off valve 120 are sequentially provided.
  • N 2 gas is used as the carrier gas.
  • the present invention is not limited to this, and other rare gases such as He and Ar may be used.
  • the purge gas supply system 80 has a purge gas passage 122 connected to the remaining one gas dispersion nozzle 52.
  • a flow rate controller 124 such as a mass flow controller and an opening / closing valve 126 are sequentially provided so that the purge gas can be supplied while controlling the flow rate as necessary.
  • the purge gas for example, an inert gas such as N 2 gas is used.
  • the first source gas and the second source gas are mixed in the middle, and this mixed gas is discharged from one gas dispersion nozzle 50.
  • the present invention is not limited to this, and another gas dispersion nozzle is provided, and the first and second source gas passages 92 and 112 are individually connected to the two gas dispersion nozzles. Two source gases may be mixed in the processing container 26.
  • the overall operation of the film forming apparatus 20 configured as described above is controlled by an apparatus control unit 128 including, for example, a computer.
  • a computer program for performing this operation is stored in the storage medium 130.
  • the storage medium 130 includes, for example, a flexible disk, a CD (Compact Disc), a hard disk, a flash memory, a DVD, or the like. Specifically, the start and stop of each gas, flow control, control of process temperature and process pressure, and the like are performed according to commands from the apparatus control unit 128.
  • FIG. 4 is a process diagram showing a flow of the film forming method of the present invention
  • FIGS. 5A to 5E are cross-sectional views showing a state of embedding the recesses of the object to be processed
  • a polyimide film is formed as a polymer thin film by vapor deposition polymerization using PMDA as the first raw material 82 and ODA as the second raw material 102 will be described as an example.
  • a polyimide film which is an insulating film having a barrier function, is formed by using PMDA as the acid anhydride that is the first raw material 82 and ODA as the diamine that is the second raw material 102. It is supposed to be.
  • the first source 82 When supplying the first source gas, the first source 82 is vaporized by heating in the first source storage tank 84 in the first source gas supply system 76 and is saturated. .
  • the saturated first raw material gas By supplying the carrier gas whose flow rate is controlled into the saturated first raw material reservoir 84, the saturated first raw material gas is accompanied by the carrier gas to the first raw material gas passage 92 side. leak. Then, the first source gas transported together with the carrier gas is ejected from the gas dispersion nozzle 50 provided in the processing container 26 and supplied into the processing container 26.
  • the second source gas 102 When supplying the second source gas, the second source gas 102 is vaporized by heating in the second source storage tank 104 in the second source gas supply system 78 and becomes saturated. Yes.
  • the carrier gas By supplying the carrier gas whose flow rate is controlled into the second raw material storage tank 104 in the saturated state, the second raw material gas in the saturated state is accompanied by the carrier gas to the second raw material gas passage 112 side. leak.
  • the second source gas conveyed together with the carrier gas is injected from the gas dispersion nozzle 50 provided in the processing container 26 and supplied into the processing container 26.
  • first and second source gas passages 92 and 112 are connected on the way, when the first source gas and the second source gas are flowing, both source gases are on the way.
  • the mixed gas is supplied into the processing container 26 after being mixed.
  • the gas supplied into the processing container 26 flows in the horizontal direction (horizontal direction) between the objects to be processed while being in contact with the object 2 accommodated therein, and the inner cylinder 22 through the exhaust port 56. And flows into the gap between the outer cylinder 24 and the outer cylinder 24. Further, the gas flows down in the gap and is discharged out of the container from the gas outlet 62 by the vacuum exhaust system 64.
  • a wafer boat 34 on which a large number of normal-temperature pieces, for example, 50 to 150 300 mm-sized workpieces 2 are placed is placed in a processing container 26 that has been previously set at a predetermined temperature. Raise and load more.
  • the inside of the container is sealed by closing the lower end opening of the manifold 30 with the lid 40.
  • a recessed portion 10 for embedding is formed on the surface as shown in FIG. 5A.
  • This object 2 is the same as that described above with reference to FIG. 1A. That is, in the object 2 to be processed, a conductive material such as a semiconductor element (not shown) such as a transistor or its wiring layer is formed on the surface of a substrate 4 made of a disk-shaped silicon substrate having a thickness of about 0.7 mm. The layer 6 is formed, and the entire surface of the semiconductor element and the conductive layer 6 is covered with a protective insulating layer 8.
  • the back surface side of the substrate 4 is polished (back grind) to reduce the thickness of the substrate 4 to about 0.1 mm, and this is turned upside down (reversely) so that the back surface side of the substrate 4 (in the figure)
  • the recess 10 is formed by etching or the like from the upper side to the conductive layer 6.
  • the recess 10 has a high aspect ratio with a diameter of about 5 ⁇ m, a depth of about 50 ⁇ m, and an aspect ratio of about 10.
  • the processing container 24 When the object 2 to be processed is accommodated in the processing container 26, the processing container 24 is evacuated and maintained within a range of about 0.1 to 1.0 torr, and the heating means 72 is supplied to the heating means 72. By increasing the supply power, the wafer temperature is raised and the process temperature in the processing chamber 26 is maintained within a range of 20 to 450 ° C. (polyimide heat resistance temperature), for example. Then, each gas is supplied from the first source gas supply system 76 and the second source gas supply system 104 of the gas supply means 74 as described above.
  • the first step S1 and the second step S2 are sequentially performed as described above.
  • the first raw material gas PMDA and the second raw material gas ODA are supplied and polymerized by a vapor polymerization method to form a polymer thin film as shown in FIG. 5B.
  • An insulating film 140 is formed.
  • This insulating film 140 is a polyimide film.
  • the first source gas and the second source gas may be supplied simultaneously, or the first source gas and the second source gas may be supplied alternately and repeatedly. May be.
  • the process proceeds to the second step S2.
  • the supply of the ODA gas is stopped by closing the on-off valve 114 of the second source gas passage 112, and the PMDA gas is continuously supplied to reform the insulating film 140 as shown in FIG. 5C.
  • the post-flow process is performed by stopping the supply of the ODA gas and continuously flowing the PMDA gas.
  • the surface of the insulating film 140 is modified to have a PMDA termination, and the insulating film 140 having a barrier function is formed.
  • the process temperature in the processing vessel 26 is in the range of 20 to 450 ° C. (polyimide heat resistance temperature), preferably in the range of 130 to 200 ° C. as described above, and the process pressure in the processing vessel 26. Is in the range of 0.1 to 1.0 Torr (13 to 133 Pa), preferably in the range of 0.2 to 0.4 Torr.
  • the gas flow rate in the first step S1 and the second step S2, the flow rate of the carrier gas is in the range of 0.8 to 1.5 liters / min, respectively, here 0.9 liters / min.
  • the flow rate is
  • the process time is in the range of 5-30 min, preferably in the range of 5-15 min.
  • each step is performed for about 10 minutes.
  • the insulating film 140 is not sufficiently modified, and if it is longer than 30 minutes, the modification is not only saturated but also the modification time becomes too long. Throughput decreases.
  • the film formation rate is in the range of 20 to 50 nm / min.
  • the target film thickness of the insulating film 140 is in the range of 250 to 500 nm, thereby eliminating the need to form a conventionally used barrier layer.
  • a conventionally used barrier film 142 is formed on the modified insulating film 140 as shown in FIG. 5E. Thereafter, the seed film may be formed as described above to form the embedded metal film 16 such as Cu. In this case, the barrier film 142 can be made thinner than the conventional case.
  • the barrier film 142 a Ti film, a Ta film, or a nitride film thereof is used as described above.
  • the acid anhydride is used in the film forming method for forming the insulating film 140 made of a polymer thin film on the surface of the object 2 to be processed in the evacuated processing container 26, the acid anhydride is used.
  • An insulating film 140 is formed by supplying a first source gas and a second source gas made of diamine, and after the first step, the supply of the second source gas is stopped and the first source gas is supplied.
  • the insulating film 140 has a barrier function.
  • the insulating film 140 can be applied to burying a recess with a high aspect ratio and has a barrier property. Can be formed.
  • FIG. 7 is a graph showing Cu diffusion resistance against the termination treatment of the polyimide film.
  • a polyimide film which is a polymer thin film, was formed on the surface of a silicon substrate with a thickness of about 0.3 ⁇ m, and a Cu film was formed on the surface of the polyimide film.
  • SIMS secondary ion mass spectrometry
  • the Cu element in the polyimide film is 5 ⁇ 10 17 atoms. / Cm3 is lowered to about one digit less than the case of curves A and B.
  • the diffusion of the Cu element can be suppressed as compared with the other curves A and B.
  • FIGS. 8A and 8B are graphs showing the Cu diffusion resistance of the polyimide film when the barrier film is used.
  • FIGS. 8A and 8B a schematic view of a laminated state of a thin film as a sample is shown.
  • a Ti film as a barrier film, and a Cu film are sequentially laminated.
  • a polyimide film polymer thin film, second process completed
  • Ti as a barrier film A film and a Cu film are sequentially stacked.
  • the thickness of the Ti barrier film is 5 nm, which is considerably thinner than the thickness of 50 to 200 nm of the barrier film generally used in the past.
  • the horizontal axis represents depth
  • the vertical axis represents secondary ion intensity.
  • SIMS is used to measure the elements in the sample, and secondary ions generated by sputter etching from the substrate side are measured. Focusing on the Cu concentration, in the case shown in FIG. 8A, the Cu element passes through the Ti film, which is a barrier film, and SiO 2. It is understood that the film diffuses to a considerable depth, for example, about 300 nm, and the barrier property against Cu diffusion is not sufficient.
  • the Cu element seems to diffuse to the polyimide film through the Ti film as a barrier film and reach a depth of about 250 nm. Is an error that occurs in the characteristics of the SIMS method in which a sample is sputter-etched with ions.
  • the polyimide film is much softer than the silicon or Ti film, so when sputtering with sputter etching ions for measurement from the silicon substrate side (right side in the graph), sputter ions penetrate in the depth direction. As a result, the sputter ions partially reach the Ti film portion from the point of about 250 nm in depth indicated by the point P1, and the Ti element starts to be detected.
  • the Cu element and the Ti element are starting to be detected almost simultaneously. This means that the diffusion of the Cu element stops at the boundary portion between the Ti film and the polyimide film and does not diffuse to the polyimide film. In other words, since the start of detection of the Ti element and the start of detection of the Cu element are almost simultaneous, the Cu element has diffused to reach the boundary between the Ti film and the polyimide film, but has not diffused to the polyimide film. It turns out that there is no.
  • the polyimide film whose surface is modified according to the embodiment of the present invention in addition to the insulating property, it can also be used as a barrier function and used in combination with a very thin barrier film of only about 5 ⁇ m. It can be seen that a sufficiently high barrier property can be exhibited as a whole. That is, if the insulating film having the barrier function of the present invention is used, the conventionally used barrier film can be thinned.
  • the present invention is not limited to this, and the present invention is also applicable to the case where a recess is embedded in an object having a recess such as a via hole or a through hole formed on the surface side of a normal semiconductor substrate having a thickness of about 0.7 mm. Inventive methods can also be applied.
  • the recess to be filled is formed in, for example, an interlayer insulating film.
  • the present invention includes not only a silicon substrate but also a compound semiconductor substrate such as GaAs, SiC, and GaN as a substrate on which an object to be processed is formed, and is not limited to these substrates, and is used for a liquid crystal display device.
  • the present invention can also be applied to glass substrates, ceramic substrates, and the like.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

 成膜方法は、被処理体を収容し、真空引きされた処理容器内に酸無水物よりなる第1の原料ガスとジアミンよりなる第2の原料ガスとを供給し、被処理体の表面に高分子薄膜よりなる絶縁膜を形成し、次いで、前記処理容器内への第2の原料ガスの供給を停止すると共に第1の原料ガスを前記処理容器内に引き続き供給し、絶縁膜を改質することにより絶縁膜にバリア機能を持たせる。

Description

成膜方法及び成膜装置
 本発明は、高分子薄膜よりなる絶縁膜を形成する成膜方法及び成膜装置に関する。
 一般に、半導体デバイスを製造するには、半導体ウエハ等の基板に成膜処理やパターニングやドライエッチング処理等の各種の処理を繰り返し行って所望のデバイスを製造する。しかしながら、半導体デバイスの更なる高集積化及び高微細化の要請より、線幅やホール径が益々微細化されている。そして、近年では配線層は、例えば8層構造など複数配線構造となっている。更に、配線材料や埋め込み材料としては、各種寸法の微細化により、より電気抵抗を小さくする必要から電気抵抗が非常に小さくて且つ安価である銅を用いる傾向にある(例えば、特許文献1参照)。
 そして、この配線材料や埋め込み材料として銅を用いる場合には、その下層との密着性及び銅の拡散防止等を考慮して、一般的にはタンタル金属(Ta)、チタン(Ti)、タンタル窒化膜(TaN)、チタン窒化膜(TiN)等をバリア層として介在させている。
 この点について、図1A及び1Bを参照して説明する。図1A及び1Bは被処理体の凹部の従来の埋め込み工程の一部を説明するための図である。図1Aは、集積回路を三次元実装するときに用いるTSV(Through Silicon Via)構造を形成する際の途中の工程の一部を示している。
 図1Aに示す被処理体2では、例えば厚さが0.7mm程度の円板状のシリコン基板等よりなる基板4の表面に、トランジスタ等の半導体素子(図示せず)やその配線層などの導電層6を作り込むと共に、この半導体素子や導電層6の表面全体を保護絶縁層8で覆っている。更に、被処理体2では、この基板4の裏面側を研磨(バックグラインド)して基板4の厚さを0.1mm程度まで薄くし、これを上下反転(逆様)にして、基板4の裏面側(図中の上方側)より導電層6までエッチング等により凹部10を形成している。
 この凹部10の底部には、上記導電層6が露出した状態となっている。この凹部10が半導体素子の引き出し電極とのコンタクト用のスルーホールや配線層間の接続用のビアホール等となる。そして、三次元実装を行うために、この反転された基板4の上面側に、更に半導体素子等を形成した時の導通のために上記凹部10内を銅膜で埋め込むことになる。この場合、上記銅膜の形成に先立って、図1Bに示すように基板4に対する絶縁性を確保するために絶縁膜12を表面全体及び凹部10内の側壁全体に形成し、この絶縁膜12上に銅拡散防止用のバリア膜14を形成する。そして、その後、埋め込み金属膜16を成膜することによって上記凹部10内を埋め込むことになる。
 ここで上記絶縁膜12としては、例えばTEOS(テトラエチルオルソシリケート)により作られたSiO2
膜が用いられる。バリア膜14としてはTiやTaやこれらの窒化膜(TiN、TaN)等が用いられる。埋め込み金属膜16としては例えば銅膜が用いられる。
 ところで、最近における半導体素子の更なる高集積化、高微細化及び高性能化の要請により、線幅やホール径が益々微細化されると共に凹部も高アスペクト化されてきて、従来の手法ではバリア性を十分に有するバリア膜を形成することが困難になってきた。
 そこで、最近にあっては、上記バリア膜14として、或いは絶縁膜としてポリイミド(樹脂)等よりなる高分子薄膜を用いることが提案されている(例えば、特許文献2、3参照)。この高分子薄膜を形成するには、原料同士を溶媒に溶かしてスピンコートして重合させる湿式法や真空雰囲気中で蒸気化された原料同士を重合させる蒸着重合法が知られている。
特開2000-077365号公報 特開2001-085419号公報 特開2008-032160号公報
 しかしながら、上記従来の高分子薄膜の形成方法では、上記湿式法にあっては高アスペクト化したホール等の凹部内に薄膜を十分に形成することが困難である。また、上記蒸着重合法にあっては、高分子薄膜のバリア性が十分ではなく、銅の拡散を十分に抑制することが困難である。
 本開示は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の一実施形態は、高アスペクト比の凹部の埋め込に適用でき、しかも、バリア性を兼ね備えた高分子薄膜の絶縁膜を提供することが可能な成膜方法及び成膜装置である。
 本発明の一実施形態によれば、成膜方法が提供され、該成膜方法は、被処理体を収容し、真空引きされた処理容器内に酸無水物よりなる第1の原料ガスとジアミンよりなる第2の原料ガスとを供給し、前記被処理体の表面に高分子薄膜よりなる絶縁膜を形成し、前記処理容器内への前記第2の原料ガスの供給を停止すると共に前記第1の原料ガスを前記処理容器内に引き続き供給し、前記絶縁膜を改質することにより前記絶縁膜にバリア機能を持たせる。
 これにより、高アスペクト比の凹部の埋め込に適用でき、しかも、バリア性を兼ね備えた高分子薄膜の絶縁膜を形成することができる。
 本発明の一実施形態によれば、成膜装置が提供され、該成膜装置は、被処理体を収容する処理容器と、前記処理容器内で前記被処理体を保持する保持手段と、前記処理容器内を真空引きする真空排気系と、前記処理容器内へ酸無水物よりなる第1の原料ガスを供給する第1のガス供給手段と、ジアミンよりなる第2の原料ガスとを供給する第2のガス供給手段と、前記被処理体を加熱する加熱手段と、装置全体を制御する装置制御部と、を備える。該装置制御部は、前記第1のガス供給手段から前記第1の原料ガスを供給させるとともに、前記第2の原料ガス供給手段から前記第2の原料ガスを供給させて前記被処理体の表面に高分子薄膜よりなる絶縁膜を形成させ、次いで、前記第1のガス供給手段から前記第1の原料ガスを継続的に供給させるとともに、前記第2のガス供給手段から前記第2の原料ガスの供給を停止させる制御を行う。
 本発明の一実施形態に係る成膜方法及び成膜装置によれば、絶縁膜を改質することにより、該絶縁膜にバリア機能を持たせることができる。これにより、高アスペクト比の凹部の埋め込に適用でき、しかも、バリア性を兼ね備えた高分子薄膜の絶縁膜を形成することができる。
被処理体の凹部の従来の埋め込み工程の一部を説明するための第1の図である。 被処理体の凹部の従来の埋め込み工程の一部を説明するための第2の図である。 本発明の一実施形態に係る成膜装置の一例を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 本発明の一実施形態に係る成膜方法のフローを示す工程図である。 被処理体の凹部の埋め込み状態を示す第1の断面図である。 被処理体の凹部の埋め込み状態を示す第2の断面図である。 被処理体の凹部の埋め込み状態を示す第3の断面図である。 被処理体の凹部の埋め込み状態を示す第4の断面図である。 被処理体の凹部の埋め込み状態を示す第5の断面図である。 ポリイミド膜の改質処理の前の状態を説明する説明図である。 ポリイミド膜の改質処理の後の状態を説明する説明図である。 ポリイミド膜の終端処理に対するCu拡散耐性を示すグラフである。 バリア膜を用いた時のポリイミド膜のCu拡散耐性を示す第1のグラフである。 バリア膜を用いた時のポリイミド膜のCu拡散耐性を示す第2のグラフである。
2  被処理体
4  基板
6  導電層
20  成膜装置
26  処理容器
34  ウエハボート(保持手段)
64  真空排気系
74  ガス供給手段
76  第1の原料ガス供給系
78  第2の原料ガス供給系
82  第1の原料
102  第2の原料
128  装置制御部
140  絶縁膜
142  バリア膜
S1  第1の工程
S2  第2の工程
 以下に、本発明に係る成膜方法及び成膜装置の一実施例を添付図面に基づいて詳述する。図2は本発明の係る成膜装置の一例を示す縦断面構成図、図3は成膜装置(加熱手段は省略)を示す横断面構成図である。
 図2に示すように、この成膜装置20は、ドーム状の天井を有する筒体状の内筒22とその外側に同心円状に配置されたドーム状の天井を有する筒体状の外筒24とよりなる2重筒構造の処理容器26を有している。この内筒22と外筒24は共に耐熱性の材料、例えば石英により形成されている。上記処理容器26の下端は、Oリング等のシール部材28を介して例えばステンレススチール製の筒体状のマニホールド30に連結されて、これに支持されている。上記内筒22の下端部は、上記マニホールド30の内壁に取り付けた支持リング32上に支持されている。尚、ステンレス製のマニホールド30を設けないで、全体を円筒体状の石英製の処理容器で構成した装置もある。
 上記マニホールド30は円筒体状に成形されており、このマニホールド30の下方より多数枚の円板状の被処理体2を多段に載置した保持手段としての石英製のウエハボート34が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート34の支柱34Aには、例えば50~150枚程度の直径が300mmの被処理体2をほぼ等ピッチで多段に支持できるようになっている。
 このウエハボート34は、石英製の保温筒36を介してテーブル38上に載置されており、このテーブル38は、マニホールド30の下端開口部を開閉する例えばステンレススチール製の蓋部40を貫通する回転軸42上に支持される。そして、この回転軸42の貫通部には、例えば磁性流体シール44が介設され、この回転軸42を気密にシールしつつ回転可能に支持している。また、蓋部40の周辺部とマニホールド30の下端部には、例えばOリング等よりなるシール部材46が介設されており、処理容器26内のシール性を保持している。
 上記した回転軸42は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム47の先端に取り付けられており、ウエハボート34及び蓋部40等を一体的に昇降して処理容器26内へ挿脱できるようになされている。尚、上記テーブル38を上記蓋部40側へ固定して設け、ウエハボート34を回転させることなく被処理体2の処理を行うようにしてもよい。この処理容器26には、ガス導入部48が設けられる。
 具体的には、このガス導入部48は、上記マニホールド30の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる複数、ここでは2本のガス分散ノズル50、52を有している。各ガス分散ノズル50、52には、その長さ方向に沿って複数(多数)のガス噴射孔50A、52Aが所定の間隔を隔てて形成されており、各ガス噴射孔50A、52Aから水平方向に向けてほぼ均一にガスを噴射できるようになっている。
 一方、上記処理容器26の内筒22の側壁の一部には、その高さ方向に沿ってノズル収容凹部54(図3参照)が形成される。また、このノズル収容凹部54に対向する処理容器26の反対側には、この内部雰囲気を真空排気するための排気口56が設けられている。この排気口56は、例えば上下方向へ削り取ることによって細長く形成してもよいし、横方向に延びるスリットを上下方向に多数形成するようにしてもよい。具体的には、上記ノズル収容凹部54は、上記処理容器26の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口58を形成し、この開口58をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製の区画壁60を内筒22の外壁に気密に溶接接合することにより形成されている。そして、図3に示すように、上記ノズル収容凹部54内に上記各ガス分散ノズル50、52が並んで設けられている。
 また、上記マニホールド30の支持リング32の上方の側壁には、上記排気口56に連通するガス出口62が形成されており、上記内筒22内の雰囲気は、上記排気口56を介して内筒22と外筒24との間の間隙内へ排出され、上記ガス出口62に至るようになっている。そして、このガス出口62には、真空排気系64が設けられている。この真空排気系64は、上記ガス出口62に接続された排気通路66を有しており、この排気通路66には、圧力調整弁68や真空ポンプ70が介設されて、処理容器26内を所定の圧力に維持しつつ真空引きするようになっている。そして、この処理容器26の外周を囲むようにしてこの処理容器26及びこの内部の被処理体2を加熱する筒体状の加熱手段72が設けられている。
 そして、上記処理容器26に対して成膜処理に必要なガスを供給するためにガス供給手段74が設けられる。ここではガス供給手段74として第1の原料ガスを供給する第1の原料ガス供給系76と第2の原料ガスを供給する第2の原料ガス供給系78とパージガスを供給するパージガス供給系80とが含まれている。具体的には、上記第1の原料ガス供給系76は、常温で液状となっている酸無水物よりなる第1の原料82を貯留する第1の原料貯留槽84を有している。この第1の原料貯留槽84は、アンプル或いはリザーバとも称される。
 上記第1の原料82である酸無水物としては、例えばピロメリット酸二無水物とオキシジフタル酸二無水物とビフタル酸無水物とカルボニルジフタル酸無水物とジフタル酸無水物とスルホニルジフタル酸無水物とシクロヘキサンテトラカルボン酸二無水物とシクロペンタンテトラカルボン酸二無水物とシクロブタンテトラカルボン酸二無水物とよりなる群から選択される1以上の材料を用いることができる。ここではピロメリット酸二無水物(PMDA)を用いている。この第1の原料貯留槽84には、上記第1の原料82を熱分解しない範囲で加熱して気化させることにより第1の原料ガスを形成する原料加熱ヒータ86が設けられており、ここでは例えば200~260℃程度に加熱されている。
 また、この第1の原料貯留槽84には、第1の原料ガスを搬送するキャリアガスを供給するガス供給部88と、キャリアガスに伴って第1の原料ガスを流出させるガス流出部90とが設けられている。ここでは、上記ガス供給部88とガス流出部90は、共に第1の原料貯留槽84の天井に設けられている。
 そして、上記第1の原料貯留槽84のガス流出部90と上記処理容器26に設けたガス導入部48の2本のガス分散ノズル50、52の内の1本のガス分散ノズル50とを連結して第1の原料ガス通路92が設けられている。そして、この第1の原料ガス通路92の途中には開閉弁94が介設されており、第1の原料ガスの流れを制御するようになっている。
 そして、この第1の原料ガス通路92の上流側のガス流出口は、上記第1の原料貯留槽84内の上部空間部を臨むように位置されており、ここで発生した第1の原料ガスをキャリアガスと共に流出させることができるようになっている。この第1の原料ガス通路92には、これに沿って例えばテープヒータ等の通路ヒータ(図示せず)が設けられており、第1の原料ガス通路92を例えば260~300℃程度に加熱して第1の原料ガスが液化又は固化することを防止している。
 また上記第1の原料貯留槽84のガス供給部88には、上記第1の原料貯留槽84内へキャリアガスを導入するためのキャリアガス通路96が接続されている。上記ガス供給部88のガス供給口は、第1の原料貯留槽84内の上部空間部を臨むように位置している。また、上記キャリアガス通路96の途中には、その上流側から下流側に向けてガス流量を制御するためのマスフローコントローラのような流量制御器98及び開閉弁100が順次介設されている。ここでは上記キャリアガスとしては、Nガスが用いられているが、これに限定されず、他の希ガス、例えばHeやAr等を用いてもよい。
 また、上記第2の原料ガス供給系78は、常温で液状となっているジアミンよりなる第2の原料102を貯留する第2の原料貯留槽104を有している。この第2の原料貯留槽104は、アンプル或いはリザーバとも称される。
 上記第2の原料102であるジアミンとしては、例えばオキシジアニリンとジアミノデカンとエチレンジアミンとジアミノウンデカンとトリメチレンジアミンとジアミノドデカンとジアミノブタンとヘキヘサルオロプロパンとジアミノペンタンとチオジアニリンとアミノフェニルスルフィドとジアミノヘキサンとジアミノジフェニルスルホンとヘプテンジアミンとジアミノベンゾフェノンとジアミノオクタンとジアミノノナンとジアミノシクロヘキシルメタンとメチルシクロヘキシルアミンとよりなる群から選択される1以上の材料を用いることができる。ここではオキシジアニリン(ODA)を用いている。この第2の原料貯留槽104には、原料加熱ヒータ106が設けられている。原料ヒータ106は、上記第2の原料102を熱分解しない範囲で加熱して気化させることにより第2の原料ガスを形成する。原料加熱ヒータ106は、ここでは例えば130~220℃程度に加熱されている。
 また、この第2の原料貯留槽104には、第2の原料ガスを搬送するキャリアガスを供給するガス供給部108と、キャリアガスに伴って第2の原料ガスを流出させるガス流出部110とが設けられている。ここでは、上記ガス供給部108とガス流出部100は、共に第1の原料貯留槽104の天井に設けられている。
 そして、上記第1の原料貯留槽84のガス流出部110と上記処理容器26に設けたガス導入部48の2本のガス分散ノズル50、52の内の1本のガス分散ノズル50とを連結して第2の原料ガス通路112が設けられている。ここでは、上記第1の原料ガス通路92と第2の原料ガス通路112とは下流側で互いに接続されて共通通路となって共通に用いられており、第1の原料ガスと第2の原料ガスとが途中で混合されるようになっている。そして、この第2の原料ガス通路112の途中には開閉弁114が介設されており、第2の原料ガスの流れを制御するようになっている。
 そして、この第2の原料ガス通路112の上流側のガス流出口は、上記第2の原料貯留槽104内の上部空間部を臨むように位置されており、ここで発生した第2の原料ガスをキャリアガスと共に流出させることができるようになっている。この第2の原料ガス通路112には、これに沿って例えばテープヒータ等の通路ヒータ(図示せず)が設けられており、第2の原料ガス通路112を例えば260~300℃程度に加熱して第2の原料ガスが液化することを防止している。
 また上記第2の原料貯留槽104のガス供給部108には、上記第2の原料貯留槽104内へキャリアガスを導入するためのキャリアガス通路116が接続されている。上記ガス供給部108のガス供給口は、第2の原料貯留槽104内の上部空間部を臨むように位置されている。また、上記キャリアガス通路116の途中には、その上流側から下流側に向けてガス流量を制御するためのマスフローコントローラのような流量制御器118及び開閉弁120が順次介設されている。ここでは上記キャリアガスとしては、Nガスが用いられているが、これに限定されず、他の希ガス、例えばHeやAr等を用いてもよい。
 また、上記パージガス供給系80は、残りの1本のガス分散ノズル52に接続されたパージガス通路122を有している。このパージガス通路122の途中には、マスフローコントローラのような流量制御器124及び開閉弁126が順次介設されており、必要に応じて上記パージガスを流量制御しつつ供給できるようになっている。上記パージガスとしては、例えばNガス等の不活性ガスが用いられている。
 尚、ここでは第1の原料ガスと第2の原料ガスとを途中で混合してこの混合ガスを1本のガス分散ノズル50から放出するようにしている。しかしながら、これに限定されず、もう1本ガス分散ノズルを設けて2つのガス分散ノズルに上記第1及び第2の原料ガス通路92、112を個別に接続して、第1の原料ガスと第2の原料ガスとを処理容器26内で混合するようにしてもよい。
 以上のように構成された成膜装置20の全体の動作は、例えばコンピュータ等よりなる装置制御部128により制御されるようになっており、この動作を行うコンピュータのプログラムは、記憶媒体130に記憶されている。この記憶媒体130は、例えばフレキシブルディスク、CD(Compact Disc)、ハードディスク、フラッシュメモリ或いはDVD等よりなる。具体的には、この装置制御部128からの指令により、各ガスの供給の開始、停止や流量制御、プロセス温度やプロセス圧力の制御等が行われる。
 次に、以上のように構成された成膜装置20を用いて行われる成膜方法について図4乃至図6Bも参照して説明する。図4は本発明の成膜方法のフローを示す工程図、図5A~5Eは被処理体の凹部の埋め込み状態を示す断面図、図6A及び6Bはポリイミド膜の改質処理の前後の状態を説明する説明図である。ここでは第1の原料82としてPMDAを用い、第2の原料102としてODAを用いて、蒸着重合法によって高分子薄膜としてポリイミド膜を形成する場合を例にとって説明する。
 まず、本発明の一実施形態に係る方法では、酸無水物よりなる第1の原料ガスとジアミンよりなる第2の原料ガスとを供給して絶縁膜を形成する第1の工程S1と、第1の工程の後に第2の原料ガスの供給を停止すると共に第1の原料ガスを供給して絶縁膜を改質することによりバリア機能を持たせる第2の工程S2とを行うようになっている。ここで上述したように、第1の原料82である酸無水物としてはPMDAを用い、第2の原料102であるジアミンとしてはODAを用いて、バリア機能を有する絶縁膜であるポリイミド膜を形成するようになっている。
 上記第1の原料ガスを供給する場合には、上記第1の原料ガス供給系76において、第1の原料貯留槽84内で加熱により第1の原料82が気化されて飽和状態になっている。この飽和状態の第1の原料貯留槽84内へ流量制御されたキャリアガスを供給することにより、上記飽和状態の第1の原料ガスはキャリアガスに伴われて第1の原料ガス通路92側へ流出する。そして、キャリアガスと共に搬送された第1の原料ガスは、処理容器26内に設けたガス分散ノズル50から噴射されて処理容器26内へ供給される。
 また上記第2の原料ガスを供給する場合には、上記第2の原料ガス供給系78において、第2の原料貯留槽104内で加熱により第2の原料102が気化されて飽和状態になっている。この飽和状態の第2の原料貯留槽104内へ流量制御されたキャリアガスを供給することにより、上記飽和状態の第2の原料ガスはキャリアガスに伴われて第2の原料ガス通路112側へ流出する。そして、キャリアガスと共に搬送された第2の原料ガスは、処理容器26内に設けたガス分散ノズル50から噴射されて処理容器26内へ供給される。
 ここで第1と第2の原料ガス通路92、112とは途中で接続されているので、上記第1の原料ガスと第2の原料ガスが流れている場合には、両原料ガスは途中で混合されてこの混合ガスが処理容器26内へ供給されることになる。
 上記処理容器26内へ供給されたガスは、この中に収容されている被処理体2と接触しつつ被処理体間を横方向(水平方向)へ流れて排気口56を介して内筒22と外筒24との間の間隙へ流入する。更にこのガスは上記間隙内を流下してガス出口62より真空排気系64により容器外へ排出されて行くことになる。
 実際の手順では、まず、常温の多数枚、例えば50~150枚の300mmサイズの被処理体2が載置された状態のウエハボート34を予め所定の温度とされた処理容器26内にその下方より上昇させてロードさせる。次に、蓋部40でマニホールド30の下端開口部を閉じることにより容器内を密閉する。
 ここで、上記被処理体2としては、図5Aに示すように表面に埋め込用の凹部10が形成されている。この被処理体2は、先に図1Aを参照して説明したものと同じである。すなわち、この被処理体2では、例えば厚さが0.7mm程度の円板状のシリコン基板等よりなる基板4の表面に、トランジスタ等の半導体素子(図示せず)やその配線層などの導電層6を作り込むと共に、この半導体素子や導電層6の表面全体を保護絶縁層8で覆っている。更に、この基板4の裏面側を研磨(バックグラインド)して基板4の厚さを0.1mm程度まで薄くし、これを上下反転(逆様)にして、基板4の裏面側(図中の上方側)より導電層6までエッチング等により凹部10を形成している。
 従って、この凹部10の底部は、上記導電層6が露出した状態となっており、この凹部10が半導体素子の引き出し電極とのコンタクト用のスルーホールや配線層間の接続用のビアホール等となる。この凹部10は、直径が5μm程度、深さが50μm程度であり、アスペクト比が10程度の高アスペクト比になっている。
 そして上述のような被処理体2を処理容器26内へ収容したならば、処理容器24内を真空引きして0.1~1.0torr程度の範囲内に維持すると共に、加熱手段72への供給電力を増大させることにより、ウエハ温度を上昇させて処理容器26内におけるプロセス温度を、例えば20~450℃(ポリイミドの耐熱温度)の範囲内に維持する。そして、ガス供給手段74の第1の原料ガス供給系76と第2の原料ガス供給系104から上述のように各ガスを供給する。
 本発明の一実施形態に係る方法では、上述のように第1の工程S1と第2の工程S2とを順次行うようにしている。上記第1工程S1では、第1の原料ガスのPMDAと第2の原料ガスのODAとを供給し、これらを蒸気重合法によって重合反応させることによって、図5Bに示すように高分子薄膜よりなる絶縁膜140を形成する。この絶縁膜140はポリイミド膜となっている。この場合、上記第1の原料ガスと第2の原料ガスとを同時に供給するようにしてもよいし、或いは上記第1の原料ガスと第2の原料ガスとを交互に繰り返して供給するようにしてもよい。
 この絶縁膜140を形成したならば、次に、第2の工程S2へ移行する。この第2の工程S2では、第2の原料ガス通路112の開閉弁114等を閉じることによってODAガスの供給を停止し、PMDAガスを引き続き流して図5Cに示すように絶縁膜140の改質処理を行う。すなわち、この第2の工程S2では、ODAガスの供給を停止すると共にPMDAガスを引き続き流すことによりポストフロー処理を行う。これにより、絶縁膜140の表面は、改質されてPMDA終端となり、バリア機能を備えた絶縁膜140が形成されることになる。
 ここでプロセス条件に関しては、処理容器26内におけるプロセス温度は上述のように20~450℃(ポリイミドの耐熱温度)の範囲内、好ましくは130~200℃の範囲内、処理容器26内におけるプロセス圧力は0.1~1.0Torr(13~133Pa)の範囲内、好ましくは0.2~0.4Torrの範囲内である。またガス流量に関しては、第1の工程S1及び第2の工程S2においてキャリアガスの流量は、それぞれ0.8~1.5リットル/minの範囲内であり、ここではそれぞれ0.9リットル/minの流量で流している。プロセス時間は5~30minの範囲内、好ましくは5~15minの範囲内である。ここでは、例えばそれぞれの工程で10min程度行っている。
 第2の工程でプロセス時間が5minよりも少ないと絶縁膜140の改質が不十分となって好ましくなく、また30minよりも長いと改質が飽和するのみならず、改質時間が長くなり過ぎてスループットが低下する。また、成膜速度は、20~50nm/minの範囲内である。また、絶縁膜140の目標とする膜厚は、250~500nmの範囲内であり、これにより、従来用いられていたバリア層を形成する必要をなくすことができる。
 上述のように、第2の工程S2が終了したならば、上記凹部10内を埋め込み金属で埋め込むために、例えばCuのシード膜を形成した後に、図5Dに示すようにCVD処理やメッキ処理等により埋め込み金属膜16として銅膜を形成して上記凹部10内を埋め込むようにする。その後は、被処理体2の表面の余分な銅膜、すなわち埋め込み金属膜16をCMP(Chemical Mechanical Polishing)処理等により研磨処理して取り除くことになる。
 尚、上記成膜工程において、銅膜に対するバリア特性を向上させるためには、変形例として図5Eに示すように上記改質された絶縁膜140の上層に従来用いられていたバリア膜142を形成し、この後に上述のようにシード膜を形成してCu等の埋め込み金属膜16を形成するようにしてもよい。この場合には、このバリア膜142を従来の場合よりも薄くすることができる。このバリア膜142としては、前述したようにTi膜、Ta膜、或いはこれらの窒化膜を用いる。
<絶縁膜(ポリイミド膜)の界面の改質>
 ここで、上記絶縁膜140であるポリイミド膜の界面(表面)の改質による変化ついて図6A及び6Bを参照して説明する。図6A及び6B中において”P”はPMDA分子を示し、”O”はODA分子を示す。まず、図6Aに示すように第1の工程S1でPMDAとODAとを供給して絶縁膜140であるポリイミド膜を形成した時点では、基板4上に蒸気重合法によって形成されるポリイミド膜は”P”で終端しているものと、”O”で終端しているものとが混在している。
 このようなポリイミド膜に対して、第2の工程S2でPMDAのみを流して改質処理(PMDAポストフロー)を行うと、図6Bに示すように先にODAの”O”で終端していた部分にPMDAが重合して”P”で終端することになる。この結果、絶縁膜140であるポリイミド膜はほぼ全て”P”で終端することになり、これにより、この絶縁膜140にCuに対するバリア機能を発揮させることが可能となる。
 このように、本発明の一実施形態によれば、真空引きされた処理容器26内で被処理体2の表面に高分子薄膜よりなる絶縁膜140を形成する成膜方法において、酸無水物よりなる第1の原料ガスとジアミンよりなる第2の原料ガスとを供給して絶縁膜140を形成し、第1の工程の後に第2の原料ガスの供給を停止すると共に第1の原料ガスを供給して絶縁膜140を改質することによりバリア機能を持たせており、これにより、高アスペクト比の凹部の埋め込に適用でき、しかも、バリア性を兼ね備えた高分子薄膜の絶縁膜140を形成することができる。
<ポリイミド膜の終端処理に対するCu拡散耐性の検討>
 次に、ポリイミド膜のCu拡散耐性について検討を行ったので、その結果について図7を参照して説明する。図7はポリイミド膜の終端処理に対するCu拡散耐性を示すグラフである。ここでは、試料としてシリコン基板の表面に高分子薄膜であるポリイミド膜を0.3μm程度の厚さで形成し、このポリイミド膜の表面にCu膜を形成した。
 このCu膜を形成する前に上記ポリイミド膜に対して何ら処理を行っていない場合(曲線A)と、Cu膜を形成する前に上記ポリイミド膜に対してODAガスを流してポストフローを行ってODA終端させたポリイミド膜とした場合(曲線B)と、Cu膜を形成する前に上記ポリイミド膜に対してPMDAガスを流してポストフロー(第2の工程)を行ってPMDA終端させたポリイミド膜とした場合(曲線C)とについて検討した。上記ポリイミド膜の形成及び処理については先に説明した方法を用いた。
 試料中の元素の測定にはSIMS(2次イオン質量分析法)を用いた。そして、図7の横軸には深さをとり、左側の縦軸にはCu濃度をとり、右側の縦軸にはC及びSiの2次イオン強度をとっている。図7に示すように、ポリイミド膜に対して何ら処理を行っていない場合(曲線A)とポリイミド膜に対してODAポストフローを行ってODA終端させた場合(曲線B)には、共にポリイミド膜内にCu元素がかなりの濃度、例えば5×1018atoms/cm3 程度まで拡散している。
 これに対して、本発明方法のようにポリイミド膜に対してPMDAポストフロー(第2の工程)を行ってPMDA終端させた場合(曲線C)には、ポリイミド膜中のCu元素が5×1017atoms/cm3 程度まで低くなって曲線A,Bの場合よりも1桁程度少なくなっている。この結果、ポリイミド膜をPMDA終端させた曲線Cの場合には、他の曲線A、Bと比較してCu元素の拡散を抑制することができることが判る。
<バリア膜(Ti膜)を用いた時のポリイミド膜のCu拡散耐性の検討>
 次に、バリア膜とポリイミド膜とを併用した時のCu拡散耐性について検討を行ったので、その評価結果について図8A及び8Bを参照して説明する。図8A及び8Bはバリア膜を用いた時のポリイミド膜のCu拡散耐性を示すグラフである。この図8A及び8Bには試料となる薄膜の積層状態の模式図が併記されている。
 すなわち、図8Aに示す場合には、シリコン基板の表面にSiO2
膜、バリア膜としてのTi膜及びCu膜を順次積層している。また、図8Bに示す場合には、シリコン基板の表面に本発明の一実施形態に係る方法で形成した絶縁膜であるポリイミド膜(高分子薄膜、第2の工程済み)、バリア膜としてのTi膜及びCu膜を順次積層している。ここでTiのバリア膜の厚さは5nmであり、従来のおいて一般的に用いられていたバリア膜の厚さ50~200nmよりもかなり薄く形成してある。
 図8A及び8Bの横軸には深さをとり、縦軸には2次イオン強度をとっている。試料中の元素の測定にはSIMSを用いており、基板側からスパッタエッチングして発生する2次イオンを測定している。Cu濃度に着目すると、図8Aに示す場合には、Cu元素はバリア膜であるTi膜を通り越してSiO2
膜のかなりの深さ、例えば深さ300nm程度まで拡散しており、Cu拡散に対するバリア性が十分でないことが判る。
 これに対して、図8Bに示す場合には、Cu元素は一見してバリア膜であるTi膜を通り越してポリイミド膜中まで拡散して深さ250nm程度まで達しているように思われるが、これはイオンで試料をスパッタエッチングするSIMS法の特性上において生ずる誤差である。すなわち、ポリイミド膜はシリコンやTi膜と比較して非常にやわらかいので、シリコン基板側(グラフ中において右側)から測定のためにスパッタエッチング用イオンでスパッタする際に、スパッタイオンが深さ方向に侵入する深度にバラツキが生じて、ポイントP1に示す深さ250nm程度のところからスパッタイオンの一部がTi膜の部分まで到達し、Ti元素が検出され始めることになる。
 ここで、深さ250nm程度のポイントP1において、Cu元素とTi元素とがほぼ同時に検出され始めている。このことはCu元素の拡散がTi膜とポリイミド膜との境界の部分で停止しており、ポリイミド膜まで拡散していないことを意味する。換言すれば、Ti元素の検出開始とCu元素の検出開始とがほぼ同時なので、Cu元素がTi膜とポリイミド膜との境界部分まで拡散して到達しているが、ポリイミド膜までは拡散していないことが判る。
 このように、本発明の一実施形態に係る表面が改質処理されたポリイミド膜によれば、絶縁性の他にバリア機能も兼用させることができ、僅か5μm程度の非常に薄いバリア膜と併用するだけでも全体で十分に高いバリア性を発揮できることが判る。すなわち、本発明のバリア機能を有する絶縁膜を用いれば、従来用いられていたバリア膜を薄くできる。
 尚、上記実施例にあっては、TSV構造の3次元実装用にシリコン基板の裏面をバックグラインドして0.1mm程度まで薄く形成した被処理体の凹部に対して成膜処理等を施す場合を例にとって説明した。しかしながら、これに限定されず、厚さが0.7mm程度の通常の半導体基板の表面側に形成したビアホールやスルーホール等の凹部を有する被処理体に対して、その凹部を埋め込む場合にも本発明方法を適用することもできる。この場合には、埋め込まれるべき凹部は、例えば層間絶縁膜等に形成されていることになる。
 また、ここでは一度に複数枚の被処理体を処理することができる、いわゆるバッチ式の成膜装置を例にとって説明したが、これに限定されず、1枚ずつ処理する、いわゆる枚葉式の成膜装置にも本発明を適用できるのは勿論である。
 また、本発明は、被処理体を形成する基板としてはシリコン基板だけでなく、GaAs、SiC、GaNなどの化合物半導体基板も含まれ、更にはこれらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。
 本国際出願は、2012年4月27日に出願した日本国特許出願第2012-102609号、2013年2月5日に出願した日本国特許出願第2013-20057号、及び2013年2月15日に出願した日本国特許出願第2013-27972号に基づく優先権を主張するものであり、日本国特許出願第2012-102609号、日本国特許出願第2013-20057号及び日本国特許出願第2013-27972号の全内容を本国際出願に援用する。

Claims (8)

  1.  成膜方法であって、
     被処理体を収容し、真空引きされた処理容器内に酸無水物よりなる第1の原料ガスとジアミンよりなる第2の原料ガスとを供給し、前記被処理体の表面に高分子薄膜よりなる絶縁膜を形成し、
     前記処理容器内への前記第2の原料ガスの供給を停止すると共に前記第1の原料ガスを前記処理容器内に引き続き供給し、前記絶縁膜を改質することにより前記絶縁膜にバリア機能を持たせる、成膜方法。
  2.  前記処理容器内におけるプロセス温度は20~450℃の範囲内である請求項1記載の成膜方法。
  3.  前記処理容器内におけるプロセス圧力は0.1~1.0Torrの範囲内である請求項1記載の成膜方法。
  4.  前記酸無水物は、ピロメリット酸二無水物とオキシジフタル酸二無水物とビフタル酸無水物とカルボニルジフタル酸無水物とジフタル酸無水物とスルホニルジフタル酸無水物とシクロヘキサンテトラカルボン酸二無水物とシクロペンタンテトラカルボン酸二無水物とシクロブタンテトラカルボン酸二無水物とよりなる群から選択される1以上の材料を含み、
     前記ジアミンは、オキシジアニリンとジアミノデカンとエチレンジアミンとジアミノウンデカンとトリメチレンジアミンとジアミノドデカンとジアミノブタンとヘキヘサルオロプロパンとジアミノペンタンとチオジアニリンとアミノフェニルスルフィドとジアミノヘキサンとジアミノジフェニルスルホンとヘプテンジアミンとジアミノベンゾフェノンとジアミノオクタンとジアミノノナンとジアミノシクロヘキシルメタンとメチルシクロヘキシルアミンとよりなる群から選択される1以上の材料を含む請求項1記載の成膜方法。
  5.  前記改質された絶縁膜の表面に更にバリア膜を形成する請求項1記載の成膜方法。
  6.  成膜装置であって、
     被処理体を収容する処理容器と、
     前記処理容器内で前記被処理体を保持する保持手段と、
     前記処理容器内を真空引きする真空排気系と、
     前記処理容器内へ酸無水物よりなる第1の原料ガスを供給する第1のガス供給手段と、
     前記処理容器内へジアミンよりなる第2の原料ガスを供給する第2のガス供給手段と、
     前記被処理体を加熱する加熱手段と、
     装置全体を制御する装置制御部と、を備え、
     該装置制御部は、前記第1のガス供給手段に前記第1の原料ガスを供給させるとともに、前記第2のガス供給手段に前記第2の原料ガスを供給させ、前記被処理体の表面に高分子薄膜よりなる絶縁膜を形成させ、次いで、前記第1のガス供給手段から前記第1の原料ガスを継続的に供給させるとともに、前記第2のガス供給手段から前記第2の原料ガスの供給を停止させる制御を行う成膜装置。
  7.  前記装置制御部は、前記処理容器内のプロセス温度が20~450℃の範囲内となるように前記加熱手段を制御する請求項6に記載の成膜装置。
  8.  前記装置制御部は、前記処理容器内のプロセス圧力が0.1~1.0Torrの範囲内となるように前記真空排気系を制御する請求項6に記載の成膜装置。
PCT/JP2013/061810 2012-04-27 2013-04-22 成膜方法及び成膜装置 WO2013161772A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/396,116 US9349584B2 (en) 2012-04-27 2013-04-22 Method for depositing a film and film deposition apparatus
KR1020147029647A KR101607802B1 (ko) 2012-04-27 2013-04-22 성막 방법 및 성막 장치

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2012-102609 2012-04-27
JP2012102609 2012-04-27
JP2013020057 2013-02-05
JP2013-020057 2013-02-05
JP2013-027972 2013-02-15
JP2013027972A JP6020239B2 (ja) 2012-04-27 2013-02-15 成膜方法及び成膜装置

Publications (1)

Publication Number Publication Date
WO2013161772A1 true WO2013161772A1 (ja) 2013-10-31

Family

ID=49483085

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/061810 WO2013161772A1 (ja) 2012-04-27 2013-04-22 成膜方法及び成膜装置

Country Status (5)

Country Link
US (1) US9349584B2 (ja)
JP (1) JP6020239B2 (ja)
KR (1) KR101607802B1 (ja)
TW (1) TWI540641B (ja)
WO (1) WO2013161772A1 (ja)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015151601A (ja) * 2014-02-18 2015-08-24 東京エレクトロン株式会社 重合膜の成膜方法および成膜装置
JP2017076784A (ja) * 2015-10-09 2017-04-20 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の気相堆積
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US20190283077A1 (en) * 2015-10-09 2019-09-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10443123B2 (en) 2014-04-16 2019-10-15 Asm Ip Holding B.V. Dual selective deposition
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10456808B2 (en) 2014-02-04 2019-10-29 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10480064B2 (en) 2016-06-08 2019-11-19 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10553482B2 (en) 2015-08-05 2020-02-04 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10741411B2 (en) 2015-02-23 2020-08-11 Asm Ip Holding B.V. Removal of surface passivation
US10872765B2 (en) 2018-05-02 2020-12-22 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11056385B2 (en) 2011-12-09 2021-07-06 Asm International N.V. Selective formation of metallic films on metallic surfaces
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11145506B2 (en) 2018-10-02 2021-10-12 Asm Ip Holding B.V. Selective passivation and selective deposition
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) * 2016-05-27 2017-10-10 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10396012B2 (en) 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US20170373032A1 (en) * 2016-06-24 2017-12-28 Qualcomm Incorporated Redistribution layer (rdl) fan-out wafer level packaging (fowlp) structure
JP7261546B2 (ja) * 2018-07-13 2023-04-20 住友化学株式会社 構造体
US11380765B2 (en) * 2018-03-02 2022-07-05 Sciocs Company Limited Structure and intermediate structure
JP6994257B2 (ja) * 2018-10-31 2022-02-21 国立大学法人茨城大学 配線構造
CN114616650A (zh) * 2019-10-29 2022-06-10 东京毅力科创株式会社 基板处理方法、基板处理装置和纳米线或纳米片的晶体管的制造方法
US20220375763A1 (en) * 2020-01-30 2022-11-24 Sony Semiconductor Solutions Corporation Semiconductor device and etching method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085419A (ja) * 1999-08-10 2001-03-30 Internatl Business Mach Corp <Ibm> 固有の銅イオン・マイグレーション・バリアを有する低誘電率誘電体材料
JP2012015195A (ja) * 2010-06-29 2012-01-19 Tokyo Electron Ltd 基板処理装置及び基板処理装置のクリーニング方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180614A (en) * 1975-01-20 1979-12-25 E. I. Du Pont De Nemours And Company Gas-barrier coated films, sheets or foils and method of preparation
JPH0718000B2 (ja) * 1985-05-15 1995-03-01 日本真空技術株式会社 合成樹脂被膜の形成方法
EP0255037B1 (en) * 1986-07-30 1992-12-09 Hitachi, Ltd. A method for forming polyimide film by chemical vapor deposition
JP2821907B2 (ja) * 1989-06-09 1998-11-05 日本真空技術株式会社 ポリイミド樹脂被膜の形成方法
DE4115872A1 (de) * 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
US20010005528A1 (en) * 1997-10-10 2001-06-28 Jae-Gyoung Lee Process for the preparation of organic electroluminescent device using vapor deposition polymerization
US5869219A (en) * 1997-11-05 1999-02-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for depositing a polyimide film
WO1999053117A2 (en) * 1998-04-14 1999-10-21 Cvd Systems, Inc. Film deposition system
JP4283910B2 (ja) * 1998-07-07 2009-06-24 株式会社アルバック 半導体製造装置およびポリイミド膜の形成方法
JP2000077365A (ja) 1998-08-29 2000-03-14 Tokyo Electron Ltd 研磨スラリー及び研磨方法
JP2000164942A (ja) * 1998-11-25 2000-06-16 Matsushita Electric Works Ltd 熱電モジュール
KR100329337B1 (ko) 1999-08-31 2002-03-22 한신혁 구리 배선상에 폴리이미드층을 형성하는 방법
JP4195205B2 (ja) * 2001-03-16 2008-12-10 三井化学株式会社 有機高分子薄膜の作製方法
KR100546940B1 (ko) 2003-07-09 2006-01-26 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
JP2008032160A (ja) 2006-07-31 2008-02-14 Ntn Corp 動力伝達機構
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US20090137043A1 (en) * 2007-11-27 2009-05-28 North Carolina State University Methods for modification of polymers, fibers and textile media
WO2009069688A1 (ja) * 2007-11-30 2009-06-04 Mitsui Chemicals, Inc. ポリイミド系複合材料およびそのフィルム
KR101190673B1 (ko) * 2008-03-25 2012-10-12 도쿄엘렉트론가부시키가이샤 표면 처리 장치 및, 표면 처리 방법
KR101386944B1 (ko) * 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
JP5524132B2 (ja) 2010-07-15 2014-06-18 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置
JP5350424B2 (ja) * 2011-03-24 2013-11-27 東京エレクトロン株式会社 表面処理方法
JP2014033056A (ja) * 2012-08-02 2014-02-20 Hiroshima Univ ポリイミド膜の成膜方法、半導体装置の製造方法及び成膜装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085419A (ja) * 1999-08-10 2001-03-30 Internatl Business Mach Corp <Ibm> 固有の銅イオン・マイグレーション・バリアを有する低誘電率誘電体材料
JP2012015195A (ja) * 2010-06-29 2012-01-19 Tokyo Electron Ltd 基板処理装置及び基板処理装置のクリーニング方法

Cited By (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11056385B2 (en) 2011-12-09 2021-07-06 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10456808B2 (en) 2014-02-04 2019-10-29 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US11213853B2 (en) 2014-02-04 2022-01-04 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US11975357B2 (en) 2014-02-04 2024-05-07 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
JP2015151601A (ja) * 2014-02-18 2015-08-24 東京エレクトロン株式会社 重合膜の成膜方法および成膜装置
KR101843615B1 (ko) 2014-02-18 2018-03-29 도쿄엘렉트론가부시키가이샤 중합막의 성막 방법 및 성막 장치
US10443123B2 (en) 2014-04-16 2019-10-15 Asm Ip Holding B.V. Dual selective deposition
US11047040B2 (en) 2014-04-16 2021-06-29 Asm Ip Holding B.V. Dual selective deposition
US11525184B2 (en) 2014-04-16 2022-12-13 Asm Ip Holding B.V. Dual selective deposition
US10741411B2 (en) 2015-02-23 2020-08-11 Asm Ip Holding B.V. Removal of surface passivation
US11062914B2 (en) 2015-02-23 2021-07-13 Asm Ip Holding B.V. Removal of surface passivation
US11174550B2 (en) 2015-08-03 2021-11-16 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10847361B2 (en) 2015-08-05 2020-11-24 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10903113B2 (en) 2015-08-05 2021-01-26 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10553482B2 (en) 2015-08-05 2020-02-04 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
JP7383192B2 (ja) 2015-10-09 2023-11-17 エーエスエム・アイピー・ホールディング・ベー・フェー 有機膜の気相堆積
US10343186B2 (en) * 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) * 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP7299289B2 (ja) 2015-10-09 2023-06-27 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の気相堆積
US11389824B2 (en) 2015-10-09 2022-07-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20190283077A1 (en) * 2015-10-09 2019-09-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11446699B2 (en) 2015-10-09 2022-09-20 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP7007795B2 (ja) 2015-10-09 2022-01-25 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の気相堆積
JP2022009666A (ja) * 2015-10-09 2022-01-14 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の気相堆積
US11654454B2 (en) 2015-10-09 2023-05-23 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP2017076784A (ja) * 2015-10-09 2017-04-20 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の気相堆積
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10741394B2 (en) 2016-04-18 2020-08-11 Asm Ip Holding B.V. Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10854460B2 (en) 2016-06-01 2020-12-01 Asm Ip Holding B.V. Deposition of organic films
US11728175B2 (en) 2016-06-01 2023-08-15 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10923361B2 (en) 2016-06-01 2021-02-16 Asm Ip Holding B.V. Deposition of organic films
US11387107B2 (en) 2016-06-01 2022-07-12 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10480064B2 (en) 2016-06-08 2019-11-19 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10793946B1 (en) 2016-06-08 2020-10-06 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11728164B2 (en) 2017-05-16 2023-08-15 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US11739422B2 (en) 2017-07-14 2023-08-29 Asm Ip Holding B.V. Passivation against vapor deposition
US11396701B2 (en) 2017-07-14 2022-07-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10872765B2 (en) 2018-05-02 2020-12-22 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US11501966B2 (en) 2018-05-02 2022-11-15 Asm Ip Holding B.V. Selective layer formation using deposition and removing
US11804373B2 (en) 2018-05-02 2023-10-31 ASM IP Holding, B.V. Selective layer formation using deposition and removing
US11145506B2 (en) 2018-10-02 2021-10-12 Asm Ip Holding B.V. Selective passivation and selective deposition
US11830732B2 (en) 2018-10-02 2023-11-28 Asm Ip Holding B.V. Selective passivation and selective deposition
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11664219B2 (en) 2019-10-31 2023-05-30 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces

Also Published As

Publication number Publication date
TWI540641B (zh) 2016-07-01
JP2014170764A (ja) 2014-09-18
US9349584B2 (en) 2016-05-24
US20150087158A1 (en) 2015-03-26
KR101607802B1 (ko) 2016-03-30
JP6020239B2 (ja) 2016-11-02
KR20150009961A (ko) 2015-01-27
TW201405657A (zh) 2014-02-01

Similar Documents

Publication Publication Date Title
WO2013161772A1 (ja) 成膜方法及び成膜装置
TWI701737B (zh) 氣體噴射器及立式熱處理裝置
TWI650827B (zh) 半導體薄膜製造之變頻微波製程及應用
US9708507B2 (en) Method for improving chemical resistance of polymerized film, polymerized film forming method, film forming apparatus, and electronic product manufacturing method
JP5862459B2 (ja) 成膜方法
KR102104728B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101407112B1 (ko) 반도체 처리용 성막 장치
TWI464801B (zh) 基板處理裝置、基板處理方法及記憶媒體
TWI416605B (zh) Film forming method and film forming device
TWI552225B (zh) SiCN膜之形成方法及裝置
JP5966618B2 (ja) 成膜方法
KR101434345B1 (ko) 성막 방법 및 성막 장치
JP2003519913A (ja) コンデンサ構造のための低熱収支金属酸化物堆積
US10840084B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
KR100629172B1 (ko) 막 형성 장치
JP2010123752A (ja) 基板処理装置
JP2022525460A (ja) 熱酸化品質が高い厚い酸化膜を低温で成長させる方法
JP2008140880A (ja) 薄膜の形成方法、成膜装置及び記憶媒体
WO2015151733A1 (ja) 成膜方法、半導体装置製造方法及び半導体装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13780548

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20147029647

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 14396116

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13780548

Country of ref document: EP

Kind code of ref document: A1