WO2013089824A1 - Détecteur infrarouge thermoélectrique à nanofils - Google Patents

Détecteur infrarouge thermoélectrique à nanofils Download PDF

Info

Publication number
WO2013089824A1
WO2013089824A1 PCT/US2012/041902 US2012041902W WO2013089824A1 WO 2013089824 A1 WO2013089824 A1 WO 2013089824A1 US 2012041902 W US2012041902 W US 2012041902W WO 2013089824 A1 WO2013089824 A1 WO 2013089824A1
Authority
WO
WIPO (PCT)
Prior art keywords
detector
absorber
substrate
layer
thermoelectric
Prior art date
Application number
PCT/US2012/041902
Other languages
English (en)
Other versions
WO2013089824A8 (fr
Inventor
Reza Abdolvand
Mohammad Jafar MODARRES-ZADEH
Original Assignee
The Board Of Regents For Oaklahoma State University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2011/064744 external-priority patent/WO2012082801A2/fr
Application filed by The Board Of Regents For Oaklahoma State University filed Critical The Board Of Regents For Oaklahoma State University
Priority to US14/365,308 priority Critical patent/US20140326883A1/en
Publication of WO2013089824A1 publication Critical patent/WO2013089824A1/fr
Publication of WO2013089824A8 publication Critical patent/WO2013089824A8/fr

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/12Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/02Constructional details
    • G01J5/0225Shape of the cavity itself or of elements contained in or suspended over the cavity
    • G01J5/023Particular leg structure or construction or shape; Nanotubes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/02Constructional details
    • G01J5/0225Shape of the cavity itself or of elements contained in or suspended over the cavity
    • G01J5/024Special manufacturing steps or sacrificial layers or layer structures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/02Constructional details
    • G01J5/08Optical arrangements
    • G01J5/0853Optical arrangements having infrared absorbers other than the usual absorber layers deposited on infrared detectors like bolometers, wherein the heat propagation between the absorber and the detecting element occurs within a solid
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/12Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using thermoelectric elements, e.g. thermocouples
    • G01J2005/123Thermoelectric array

Definitions

  • This disclosure is related to infrared sensing in general and, more particularly, to thermoelectric infrared detectors.
  • thermal infrared (IR) imaging arrays can't compete with the cryogenically-cooled photon detector arrays in responsivity and detectivity.
  • cryogenic-coolers required for long wavelength photon detectors impose disadvantages such as increased size/cost, and reduced life time.
  • Photon detectors are also sensitive to a limited spectrum of IR radiation. Therefore, there has always been a strong motivation for development of high-performance thermal IR detectors that operate at room temperature.
  • the manufacturing cost of a thermal IR imaging array is still considerably high and the performance is limited by the structure of the detector and more specifically by the heat transfer between the isolated sensitive area and the surroundings.
  • thermoelectric infrared detector in one aspect thereof, comprises a thermoelectric infrared detector.
  • the detector has an absorption platform comprising a material that increases in temperature in response to incident infrared radiation and the platform covering substantially an entire area of the detector.
  • the detector includes a thermocouple substantially suspended from contact with a substrate by at least one arm connected to the substrate.
  • the thermocouple comprises a thermoelectric connection embedded in the at least one arm.
  • the absorption platform may connect directly to the at least one arm forming the thermocouple.
  • the absorption platform may also comprise at least one layer dielectric layer with at least two adjacent metal layers.
  • the at least two adjacent layers may be on opposite sides of the dielectric layer and may comprise nichrome.
  • the detector may include an adhesion layer underlying the dielectric layer and the two metal layers.
  • the at least one arm comprises Parylene.
  • the at least one arm may be tethered to the substrate by at least one tether, which may comprise Parylene.
  • the invention of the present embodiment as disclosed and claimed herein in another aspect thereof, comprises an infrared detector.
  • the detector includes a plurality of support arms proceeding from a substrate and containing a plurality of thermoelectric connections therein.
  • the detector includes an infrared absorber platform in thermal connection with the plurality of arms and heating the arms in response to absorbing infrared radiation.
  • the thermoelectric connections form a thermocouple with the absorber, the absorber acting as a hot junction and the substrate acting as a cold junction, the thermocouple providing a voltage signal on the thermoelectric connections in proportion to the temperature difference between the hot and cold junctions.
  • the plurality of support arms comprise Parylene.
  • the detector may further comprise at least one tether tethering at least one of the plurality of support arms to the substrate at a location other than where such support arm joins the substrate.
  • the absorber platform covers substantially an entire area of the detector.
  • the absorber platform may comprise a silicon nitride layer with a first nichrome layer on a first side of the silicon nitride layer and a second nichrome layer on a second side of the silicon nitride layer.
  • An adhesion layer may be provided on a lower side of the absorber platform.
  • the detector may include a silicon nitride post interposing the infrared absorber and the plurality of arms.
  • the invention of the present disclosure as described and claimed herein in another aspect thereof, comprises a method including providing an infrared absorber, providing a plurality of Parylene support arms supporting the absorber away from the substrate, and attaching a thermally conductive connection from the substrate to the infrared absorber to detect heating of the absorber relative to the substrate.
  • the method may include forming the infrared absorber from a dielectric layer covered on two sides by metal layers.
  • the infrared absorber may be configured to cover substantially all of the support arms.
  • FIG. 1 is a perspective view of one embodiment of an infrared (IR) detection cell according to aspects of the present disclosure.
  • FIG. 2 is a comparison of responsivity (left) and detectivity (right) of a TE IR detector for different TE materials at room temperature.
  • FIG. 3 is a comparison of the responsivity of the element for different materials configurations.
  • FIG. 4 is a comparison of the detectivity of the element for different materials configurations.
  • FIG. 5 is a comparison of the time constant of the element for different materials configurations.
  • FIG. 6 is a cutaway view of a process flow for the fabrication of an IR detector according to aspects of the present disclosure.
  • FIG. 7 is another perspective view of an IR detector, on cell according to aspects of the present disclosure.
  • FIG. 8 is another cutaway view of a process flow for the fabrication of an IR detector according to aspects of the present disclosure.
  • FIG. 9 is a perspective view of another embodiment of an IR detector according to aspects of the present disclosure.
  • FIG. 10 is a perspective view of the IR detector of FIG. 9 with the absorber removed.
  • IR radiation detectors can be categorized into two classes: photon and thermal detectors.
  • the principle of operation in a photon detector is to measure the change in the electrical properties (electronic energy distribution) of a material as a result of interaction between absorbed photons and the electrons. Since thermally generated charge carries will introduce noise in photon detectors, cryogenic cooling is necessary to attain sensitivity to IR wavelength larger than 2-3 ⁇ .
  • photon detectors will only show sensitivity to a narrow range of IR wavelength based on the engineered band-gap of the material used as the sensing element.
  • Thermal detectors operate based on measuring the change in the electrical properties of a material as a result of the temperature change subsequent to the absorption of the IR radiation. With the presumption that the absorption coefficient of the sensing material in a thermal detector is fairly constant for a wide range of IR wavelength, the detector can be used for a wide spectrum and does not require cooling. This is a significant advantage of thermal detectors over their counterparts and has inspired extensive research in universities and industry for decades. Thermal IR detectors are mostly classified in three categories based on the detection mechanism as introduced below.
  • the resistance of a sensing element is changing as the temperature of the element varies corresponding to the amount of absorbed thermal energy.
  • the change in resistance is converted to the change in voltage by passing a constant bias current through the resistance.
  • the responsivity (defined as the output signal divided by the input radiation power) is proportional to the thermal resistance from the sensing area to the heat sink and the temperature coefficient of resistance.
  • Pyroelectric detectors operate based on the pyroelectric effect, which is the spontaneous electric polarization as a result of change in the temperature in a pyroelectric film and is measured as a voltage developed on a pair of electrodes covering the two surfaces of the film. Pyroelectric detectors do not respond to constant IR radiation (when there is no temperature change) and radiation modulation (chopping) is necessary for their operation in imaging applications. Similar to resistive detectors, the responsivity in pyroelectric detectors is also proportional to the thermal resistance of the thermally isolated heat collector.
  • thermoelectric detector In a thermoelectric detector, the temperature variation in the IR absorption area is turned into a corresponding voltage using a thermopile.
  • the thermoelectric effect is a self-generating transduction mechanism and therefore alleviates the need for a bias signal.
  • the responsivity in a thermoelectric detector is proportional to the thermal resistance and the difference between the Seebeck coefficients of the two thermoelectric materials used in the thermopile.
  • the rather small Seebeck coefficient of conventional thermoelectric materials can be partially augmented by using a series combination of a number of thermoelectric junctions.
  • A is the absorption coefficient
  • Am is the absorption area
  • R t h is the thermal resistance of the support (assuming that conduction is the dominant heat exchange mechanism)
  • k is the Boltzmann's constant
  • T is the system temperature.
  • the thermal resistance (R t h) has the same overall effect on the detectivity as it has on responsivity (both improve as the R t h increases). Therefore, the thermal detector (of any kind) exhibit an ultimate detectivity if we assume thermal conduction is removed altogether and the only remaining heat exchange mechanism is radiation.
  • This detectivity is called background fluctuation noise-limited detectivity and is formulated as below: where ⁇ is the Stefan-Boltzmann constant and the TB and To are the background and the detector temperature respectively.
  • the detectivity of all thermal devices will always be smaller than this limit. It should be noted that even after greatly suppressing the thermal conduction in a thermal sensing device achieving the background noise-limited detectivity is not guaranteed. This is because other electrical sources of noise such as Johnson noise and 1/f noise (for devices biased at a DC signal) will also affect the detectivity of the IR detector.
  • thermoelectric sensing methods in an IR detector offers many benefits.
  • thermoelectric sensors do not require a bias signal (as opposed to all resistive and some pyroelectric detectors). Therefore, the output signal is free of 1/f noise and Johnson noise is the only source of electrical noise in the sensor. This means that by suppressing the heat conduction of the support structure and carefully designing the resistance of the thermopile (to reduce Johnson noise), the thermoelectric detector is the most likely of all thermal detectors to reach background-noise-limited detectivity discussed above with a very reasonable manufacturing cost.
  • Resistive detectors and some pyroelectric detectors need a temperature stabilizer in order to operate in a wide range of temperature (e.g. 0-50°C).
  • the temperature coefficient of resistance in a resistive detector and the dielectric constant in a pyroelectric detector are strong functions of the absolute temperature (the material in use is set at transition temperature to increase responsivity). Therefore, the temperature of the sensing array has to be controlled. This is not the case for a thermoelectric detector in which the reference temperature is always automatically set by the bulk of the substrate which is acting as a heat sink.
  • thermoelectric detector Another significant advantage of a thermoelectric detector is the capability to measure constant radiation which eliminates the need for a mechanical chopper.
  • thermoelectric detector is a superior choice for implementation of low-cost, compact and durable IR imaging devices.
  • thermoelectric IR detectors have received considerably less attention compared to their pyroelectric and resistive counterparts; and majority of current commercially-available IR imaging arrays are based on the two latter types. Considering the aforementioned advantages of the thermoelectric sensing elements, this mediocre popularity among IR imaging producers may be associated with the lower reported responsivity of the thermoelectric IR detectors ( ⁇ 108 cmHzl/2W-l).
  • thermoelectric junction is made of doped polysilicon, metals, or a combination of both.
  • the thermally isolated area is either entirely suspended on a thin dielectric (usually silicon nitride) membrane or suspension arms are made of silicon nitride (or silicon oxide).
  • the thermal conductivity of silicon nitride is rather large and therefore the thermal conduction through the suspension arms is increased. Even the thermal conductivity of silicon dioxide is not low enough to provide excellent isolation. Therefore, the overall thermal isolation of the sensing element and consequently responsivity of the detector is usually compromised.
  • thermoelectric detectors can be improved by incorporating more efficient thermoelectric material such as Bi-Te compounds.
  • the detectivity for such devices is reported in the range of a 109 cmHzl/2W-l, which is comparable to the detectivity of pyroelectric and resistive devices.
  • the present disclosure shows that the detectivity can be improved by an order of magnitude beyond this value with carefully optimizing the detector structure and the thermopile dimension/configuration. This can be achieved without the need for the rare/exotic materials that are typically incompatible with conventional microelectronics fabrication.
  • Thermoelectric IR pixels integrated with low-noise electronic amplifiers can lead to mass-production of low-cost and compact thermoelectric imaging arrays that are suitable for low-power applications (such as space exploration missions).
  • the thermal to electrical energy conversion efficiency of a TE device operating between T h and T c is determined by the average figure of merit ⁇ ZT) of the TE material.
  • ZT is a measure of performance of the TE material and depends on combination of three properties of a material: thermal conductivity ( ⁇ ), electrical conductivity ( ⁇ ) and Seebeck coefficient (5):
  • T is the average temperature in Kelvin.
  • thermoelectric power factor SV
  • SL BiTe/SbTe superlattices
  • QDSL PbTe/PbSeTe quantum dot superlattices
  • Silicon as a bulk material has a large thermal conductivity ( ⁇ >100 W/mK), hence it is a poor TE material ( ⁇ ⁇ .02 at 300K).
  • the thermal conductivity reduces to about 5 W/mK and consequently the figure of merit at high temperature is increased (Z7 at 1300K).
  • SiGe is still a poor TE material at room temperature ( ⁇ -0.2 at 300K).
  • NW rough Si nanowires
  • Silicon NWs demonstrated a significantly larger figure of merit even at room temperature (Z7 at 300K). The main reason for this enhancement is believed to be the large reduction in the thermal conductivity ( ⁇ -1.6 W/mK) and enhancement of Seebeck coefficient (S-240 ⁇ / ⁇ ) while maintaining a good electrical conductivity ( ⁇ 280 S/cm).
  • FIG. 1 a perspective view of an IR detection cell 100 according to aspects of the present disclosure is shown.
  • a radiation absorption platform 102 in this design is raised above the surface of substrate 104 in an attempt to maximize the fill-factor.
  • a portion of the absorption platform 102 is cut out in FIG. 1 to make the details of the device 100 more visible to the reader.
  • the incident radiation will mostly be absorbed by the sensing area 102, and electrical connections are covered by the absorber.
  • the absorber 102 is made of material with high thermal conductivity, low density, and high IR absorption coefficient (such as silicon nitride) and the thickness of the film is minimized to reduce the heat capacitance.
  • the absorber 102 is connected to a relatively small membrane of silicon nitride 106 via a post 108 in the middle.
  • a thermoelectric junction is created by placing polysilicon nanowires 110 in thermal contact with this bottom membrane 106 (e.g., it lays on top of the membrane).
  • the polysilicon nanowires 110 are embedded inside two turning arms 112 that suspend the entire structure and create excellent thermal isolation between the absorber 102 (hot junction) and the bulk of the substrate 104 (cold junction heat sink).
  • the suspension arms 112 are made of made of Parylene or polyimide.
  • thermoelectric nanowires 110 directly convert the temperature difference originated by the absorption of IR radiation to a voltage signal.
  • the nanowire thermocouples 110 are embedded in membranes made of organic material with an ultra-low thermal conductivity, such as Parylene or polyimide, to support the suspended mass of the sensing area 102.
  • Some embodiments of the present disclosure are expected to reach the fundamental limit of detectivity (the background fluctuation noise limit at room temperature) currently unattainable by thermal IR detectors.
  • thermoelectric junctions placed in series are only for illustration, and more or fewer may be present in various embodiments.
  • the arms 112 are turned around the suspended membrane 106 to create a longer path. This lowers thermal conductance while keeping a small total footprint. Thus the pixel size can be reduced resulting in smaller overall package and lower cost. Responsivity and detectivity of a pixel in an IR imaging array may depend on fill factor. In embodiments of the present design, the IR absorber 102 covers the whole area of the array, and almost all the incident IR radiation will be absorbed and converted to image data.
  • the sensitivity and detectivity of a TE detector increases with the thermal resistance between the hot and cold junctions.
  • the Si NW TE legs 112 of the present disclosure provide a very high thermal resistance for two reasons: first, reduced thermal conductivity of the material while maintaining the TE power factor; and second, very large length to area ratio. The two effects result in a significantly large thermal resistance (> 1010 K/W) which is hardly attainable by conventional TE structures.
  • the two dominant heat exchange mechanism in such a device are (1) convection through the air and (2) conduction through the support that is embedding the NWs.
  • the detector is packaged in a vacuum seal.
  • the heat conduction through the support 112 adds to the total thermal conduction across the two ends of TE junction and results in a smaller responsivity.
  • Silicon nitride (SiN) has previously been used to form the support 112.
  • silicon nitride has a large thermal conductivity (-30 W/mK) compared to that of Si nanowires ( ⁇ 1.6 W/mK) and therefore, the overall thermal isolation of the structure is deteriorated.
  • a support 112 made from Parylene may be used. Parylene demonstrates an extremely small thermal conductivity ( ⁇ 0.08 W/mK) comparable to that of air ( ⁇ 0.03 W/mK).
  • a Parylene membrane significantly reduces the heat conduction path parallel to the Si nanowires 110 resulting in enhanced responsivity and detectivity of the detector 100.
  • Thermal detectors usually suffer from slower response time compared with the typical photon detectors.
  • the thermal time response of a TE detector can be characterized by:
  • T C ⁇ h R ,h (5)
  • C, / is the effective thermal capacity that depends on the mass and the specific heat
  • R,h is the effective thermal resistance of the device from the IR absorber to the cold end of the sensor.
  • the thermal capacity of the device is attributed to the IR absorber 102, the TE legs 112, and all other supporting materials that are thermally connected to the device. In a device with large fill factor, the large IR absorber dominates the thermal capacity. A smaller IR absorber can enhance the time response; however there is a trade-off between sensitivity of the sensor and the response time. In the present device, both the absorber 102 area/mass and the material of choice are optimized to target a balanced performance.
  • Au-black layer can be coated by low pressure evaporation.
  • the small mass density of Au- black is ⁇ 20 times smaller than Au. This will significantly enhance the time response while maintaining the sensitivity.
  • Au-black layer demonstrates a fairly large IR absorption coefficient (>90%) in the range of ⁇ 5-17 ⁇ wavelength.
  • thermoelectric parameters must enhance the most important sensor performance parameters namely responsivity and detectivity.
  • the responsivity R and the thermal fluctuation noise-limited detectivity DTF of a simple TE detector (no power modulation) is determined by the following two relations:
  • N is the number of TE pairs
  • S is the difference of the Seebeck coefficients of the two legs (i.e. S p -S n )
  • R t h is the thermal resistance between the hot and cold junctions
  • Ab is the IR absorption absorptivity
  • a r is the fill factor
  • ⁇ ⁇ is the area of the IR absorber
  • R e i is the total electrical resistance of the TE legs
  • T is the absolute temperature
  • k B is the Boltzmann constant.
  • a TE material with large Seebeck to thermal conductivity ratio is desired. This does not necessarily mean a large ZT as generally expected for a superior TE material.
  • Bi 2 Te 3 , PbTe, and Sio.eGeo.2 as three conventional TE materials, at room temperature Bi 2 Te 3 has the highest ⁇ -1 , and SiGe has the smallest ⁇ -0.2 (n or p at doping concentration ⁇ 10 19 cm "3 ).
  • SiGe at this doping level has a very large Seebeck coefficient (5-650 ⁇ / ) as compared with Bi 2 Te 3 (5-210 ⁇ / ⁇ ) and PbTe (S-100 ⁇ / ⁇ ). This results in a significantly larger S/ ⁇ ratios for SiGe. Therefore, a TE IR detector made with SiGe will have a larger responsivity compared to a similar device made from Bi 2 Te 3 or PbTe. For the case of detectivity, ⁇ / ⁇ is the selection rule. This factor, however, is larger for Bi 2 Te 3 .
  • Table 1 lists the parameters used in our calculations.
  • the responsivity of the detector can be estimated using equ. (6).
  • One way to improve the TE responsivity is to increase the number of nanowires N. However, this will reduce the overall thermal resistance. Therefore, it is important to optimize the number of nanowires versus other parameters of the device.
  • FIG. 3 shows the calculated responsivity versus the TE wire diameter. The lines in the figure are disconnected in the 100-150nm range to separate two regimes of NW and bulk conduction.
  • the thermal conductivity of Si is assumed to be in NW regime estimated by 1.6 W/mK. In larger wire diameters (>150 nm), the thermal conductivity is taken as that of bulk Silicon (-100 W/mK).
  • Other parameters are listed in
  • FIG. 4 shows the calculated detectivity versus the wire diameter. It is seen that the Si NW with Parylene membrane results in a detectivity that is approaching the theoretical limit. For a Si NW with 50nm diameter, this is equal to -1010 cmHzl/2/W that is significantly larger than values achievable by today's uncooled IR detectors.
  • FIGs. 8a-8e one embodiment of a step-by-step process flow for fabrication of a thermoelectric detector is shown. These figures represent the cross section of a detector unit (such as that of FIG. 1).
  • the process begins at FIG. 6a by forming an oxide-filled cavity 902 in a silicon wafer 904. This can be done in several ways. For example, a thin layer of silicon nitride on the surface of the wafer can be deposited and patterned (oxidation barrier). Then the wafer is oxidized in an oxidation furnace and finally the wafer is polished back to remove the nitride layer. The detector will be fabricated on top of this oxide island in order to facilitate the release of the structure at the end.
  • a layer of low-stress silicon nitride 906 is deposited on the surface of the wafer in a low pressure chemical wafer deposition (LPCVD) furnace and patterned as needed. It is notable that the nitride layer is not completely removed on the patterned area and rather a very thin layer of nitride is left. This layer should be thick enough to withstand a short BOE dip in on the subsequent steps.
  • the nitride pattern in the middle of the oxide island comprises the suspended bottom membrane 106 of FIG. 1.
  • thermoelectric traces 908 A thin layer of polysilicon is deposited, selectively ion implanted and patterned to form the thermoelectric traces 908 as show in FIG 8c. Since the polysilicon wires are planned to be very narrow (nanoscale), a size reduction technique (by oxidation of the polysilicon) is utilized to scale down the size of the patterned polysilicon as seen better in FIG. 6d. In this oxidation process, polysilicon is oxidized from the surface, resulting in the formation of Si0 2 as a cladding 910 around the wires, also seen in FIG. 6d. During this process, polysilicon wire remains in the core and becomes thinner as the oxidation continues. By controlling the temperature and oxidation time, we can attain the desired nanowire diameter. An alternative method to make polysilicon nanowire would be to use e-beam lithography. However, that is not a batch fabrication technique and may not be directly transferable to large-scale production lines.
  • thermoelectric junction is formed on the silicon nitride membrane 106 by selective metal evaporation (e.g. lift-off) at the tip of the wires (not shown in this cross section).
  • the wires 908 may not be silicon based.
  • Bismuth and Ni-Cr are chosen as the metal traces 908 since they exhibit a combined Seebeck coefficient of about ⁇ which is a relatively large value for metals
  • Parylene 912 is deposited and patterned in oxygen plasma to form the thermally-insulating enclosure for the nanowires 910 in Figure 8f.
  • the Parylene structure also supports the suspended structure as shown in FIG. 1.
  • Parylene is highly hydrophobic and resistant to humidity. It is not soluble in most organic solvents (such as many resist removers) and does not react with most acidic/basic solutions (such as HF and KOH).
  • a sacrificial layer 914 for deposition of the IR absorber is formed.
  • this mold can be made of a range of material (e.g. resist).
  • One option is to use a thin ( ⁇ lum) sputtered silicon nitride layer. Since sputtering is a low-temperature deposition technique most sacrificial material are suitable.
  • the surface of absorber may be coated with high IR absorptivity material (gold-black) for improved performance.
  • the last step is to release the entire structure in solvents and BOE consecutively as shown in FIG. 6i.
  • the absorber mold made of resist will be removed in solvents and the oxide sacrificial layer in BOE to completely suspend the sensing platform.
  • the etch rate of Parylene in BOE is negligible and it can withstand long BOE bath if required.
  • FIG. 7 another illustration of one embodiment of an IR detection cell is shown.
  • the absorber 102 is connected to the membrane 106 via post area 108.
  • the electrical traces 110 are embedded in the Parylene support arms 112 which support the membrane 106 (serving as a thermocouple) and absorber 102.
  • the traces or thermoelectric wires 110 run from the substrate 104, serving as a cold junction, to the suspended silicon nitride membrane 106, serving as a hot junction, then then back to the substrate 104 again.
  • Various connections 1002 may be provided for connecting the detection cell 100 to logic or other circuitry. It is understood that in use, a plurality of cells 100 may be used in an array. As described, the fill factor of the cell 100 promotes it use in detector arrays. Additionally, the processes described herein for production of the cell 100 are readily adaptable to batch production and the entire process may be post CMOS compliant.
  • FIGS. 8a-8d another process flow for constructing devices according to the present disclosure is shown.
  • prototype devices with various absorber sizes and different number of thermocouple junctions have been fabricated using a 7-step surface-micromachining.
  • This process utilizes two sacrificial layers 1102, 1103 as explained below.
  • This process begins with the deposition of a sacrificial PECVD Si02 layer 1102, which is patterned to serve as the platform for the suspended heat-collector 1110 (the absorber 102 of FIG. 1).
  • Silicon nitride 1104 is then deposited and patterned by dry etching to serve as an insulation layer for the electrical connections on top of both the substrate and the heat-collection post in the middle (FIG. 8 (a)).
  • the thermoelectric junctions are formed by sputtering/patterning two different traces 1104, 1106 and then the Parylene film 1108 is deposited in a Parylene-coating chamber at room temperature and is consequently patterned in 02 plasma (FIG. 8 (b)).
  • the absorber 1110 is then formed and patterned to create access to the bottom silicon nitride post 1112 (106 of FIG. 1) as shown in FIG. 8(c).
  • the heat-collector (Cu) is then deposited/ patterned and is anchored to the post through the patterned hole in resist. At last, both sacrificial layers are removed to completely release the structure (FIG. 8 (d)).
  • the fabrication process begins with a single side polished silicon 1101.
  • the wafer is cleaned prior to any deposition to make sure that it is free of any contamination. Any contamination may cause some unknown effects and undesired film formation in the later steps.
  • sacrificial layers 1102, 1103. Polymers such as photoresist can be used as a sacrificial layer but they are not suitable for high temperature processes since they might burn. Some polymers can tolerate high temperature but ash technique which is used for removal is harmful to Parylene films which itself is a polymer.
  • Other sacrificial layer like silicon dioxide, silicon nitride, polysilicon, etc. can also be used but except silicon dioxide, other materials either hard to remove or their etchant attacks other material on the wafer. Silicon dioxide can be etched in Hydrofluoric solutions (HF). The HF solution slightly attacks Parylene and silicon but this is not the concern here, the important is that it strongly attacks oxide and gives a good selectivity.
  • sacrificial oxide is deposited. This can be done either in oxidation furnace or PECVD.
  • PECVD oxide is preferable because this film will be removed later on and has higher etch rate in the etchant comparing to the thermal oxide. Also the oxide deposition rate in PECVD (2 um per hour) is much higher than the growth rate in furnace (more than 8 hours for 2 um).
  • Undoped silicon wafers can be very expensive and doped ones may short the thermoelectric traces to each other and cause malfunction.
  • a thin layer of an insulator material should be deposited. This layer will not be removed and should not be etched in HF solution.
  • Silicon nitride is the choice of option since it can tolerate high temperature, it is slightly attacked in HF, and it can be deposited with the common IC fabrication tools. Another requirement is that the insulator film should have high thermal conductivity to dissipate the transferred heat from the hot element quickly. Silicon nitride has high thermal conductivity and can rapidly conduct any local heat to the other cold areas.
  • Silicon nitride was chosen for the present build because it could be deposited using PECVD and has high deposition rate. Upon different parameters in the process, the deposited film may have different etch rates. A recipe was developed to produce films with low stress and low etch rate in BOE and is shown in Table 2.
  • the film After nitride deposition, the film should be patterned to form a SiN membrane 1104 on the center of the sacrificial oxide which will act as the hot junction.
  • Thermoelectric wires 1104, 1106 are required to generate voltage due to the temperature difference on their ends.
  • Bismuth's Seebeck coefficient is relatively large. It was decided to use sputtering technique instead of thermal evaporation. In general, sputtering provides better sidewall coverage.
  • thermoelectric wires was made from polysilicon since it has higher ZT.
  • Polysilicon is deposited in a LPCVD furnace at which should be followed by annealing for dopants activation at . Since this film is deposited at high temperature, lift-off is not an option because photoresists are polymers and they cannot withstand temperatures higher than 150°C unless they are cured. If they are cured, they can no longer be removed in Acetone and another method which is called ash technique should be used for removal. So, the film has to be dry etched in ICP. Another consideration is that a recipe should be used for etching polysilicon that does not etch the underneath layer especially since polysilicon is thin and timing the process is difficult.
  • This polysilicon layer is deposited over silicon nitride and silicon dioxide.
  • a great selectivity is required.
  • the etching should be isotropic and a great undercut can be attained and hence, the width of the wire can be controlled.
  • a recipe was developed based on SF6 plasma for etching the polysilicon layer. In the developed recipe the etch-rates of photoresist, silicon dioxide and polysilicon are 85, 43, and >1000 nm/min respectively.
  • Parylene is deposited at room temperatures with a Specialty Coating Systems (SCS) tool. The thickness of the result film depends on the amount of the loaded dimer. Parylene-C with different thicknesses were deposited and patterned. Oxygen based plasma is used to etch the Parylene film in ICP. Since photoresist is a polymer as well as Parylene, it cannot be used as a mask. Even if the thickness of the photoresist mask is chosen much thicker than the thickness of the Parylene film, the etched film result will not have sharp and good sidewalls. A hard mask such as silicon dioxide should be used.
  • SCS Specialty Coating Systems
  • the next step in the fabrication process is absorber 1110 deposition. Since the absorber 1110 may be deposited at high temperature, higher than 300 ° C, Parylene C is not proper because its melting point is 290°C. Parylene N has almost the same characteristic but it has higher melting point, 480°C. Thus, Parylene N films were deposited by acquiring the necessary dimer and applying some modifications inside the tool.
  • the sacrificial layer 1103 for absorber 1110 was deposited next. Again, for the sake of simplicity, photoresist was used as the sacrificial layer and copper was used as the absorber material. As it was shown in FIG. 8(c), the sacrificial layer 1103 should be etched in order to gain access to the silicon nitride membrane 1104. As a result, the absorber 1110 can be anchored to silicon nitride membrane through a post 1112. Using photoresist, the sacrificial layer 1103, can be easily patterned for the post 1112 and then the absorber 1110 can be deposited at room temperature. If the temperature of the substrate 1101 rises during the absorber deposition, the post might crack, and after releasing, the absorber would be detached.
  • the absorber and the device can be released by submerging the device in acetone to remove the sacrificial photoresist followed by BOE dip for removing the sacrificial oxide.
  • Devices may be built with different numbers of Parylene arms 112 (FIG. 1) but it was found that devices with four arms may fare better in the releasing process. However, some success was achieved by releasing the devices in HF vapor and then baking them to remove any residue.
  • devices may be released from the backside of the wafer.
  • One way is Bosch process or DRIE (deep reactive ion etching) which results to steep side walls.
  • the other way is anisotropically wet etching with KOH or TMAH. For this process, larger holes on the backside are required. Both of the techniques were pursued to release the devices.
  • the front side was protected with 2 um of PECVD oxide for wet etching the backside. After an hour of etching in TMAH, it was observed that the front side has been attacked and the polysilicon wires were wiped off the front surface. Apparently, PECVD oxide is not a good protecting mask.
  • Another protective layer, Protek was added to the front side and etching lasted for 6 hours.
  • the absorber 1110 (also 102 of FIG. 1) is an optical cavity and composed of three layers of nichrome/nitride/nichrome. To ensure the good adhesion of the absorber 1110 to the post 1112, a thin layer of silicon nitride was first deposited and the rest of absorber was then deposited. The nitride is deposited at 300°C. The photoresist can no longer be used as the absorber sacrificial layer. Silicon dioxide was used again as the sacrificial layer since it can be deposited relatively fast in PECVD (2 um/hour ) and can be removed in the same way that the other sacrificial layer can be dissolved. After depositing the sacrificial oxide, the film should be patterned in ICP.
  • photoresist mask which is used for patterning should be hard baked to reflow and becomes tapered. After etching the post 1112 and before depositing the adhesive nitride layer for the absorber 1110, a quick clean was required to remove the polymers created during the oxide etching.
  • the absorber deposition comprises 5 steps. First a nitride layer was deposited in
  • nichrome deposition using sputtering technique.
  • Parylene film may be deposited to protect the top films from exposure to HF vapor.
  • the absorber 1110 was patterned. The thickness of the photoresist should be carefully chosen since the absorber is composed of different layers and it takes time to etch all of them.
  • Nichrome can be wet or dry etched. Special photoresist with excellent adhesion to nichrome is required to mask the nichrome layer from its etchant (TFN). Otherwise, the photoresist will peel off or a large undercut occurs. Dry etching is also possible and can be done in chlorine based plasma.
  • the selectivity of nichrome to photoresist in the developed recipe is 24 over 400 which is not good at all. In this work, 5 um thick resist is spun on the wafer and used as the mask.
  • the absorber 1110 There were four steps in the formation of the absorber 1110: etching the top nichrome, nitride, nichrome, and finally nitride. After this last step, photoresist can be removed and the devices can be released with either of wet or vapor phase etching techniques.
  • FIG. 9 a perspective view of another embodiment of an IR detector according to aspects of the present disclosure is shown. This embodiment is similar to those previously described except as indicated.
  • a multilayer absorber platform 1902 is utilized.
  • the absorber 1902 functions as an optical cavity.
  • a top metal layer 1904 overlays a dielectric layer 1906, which overlays a bottom metal layer 1908.
  • the general structure is metal/dielectric/metal.
  • the metal layers 1904, 1906 comprise nichrome and the dielectric layer 906 comprises silicon nitride.
  • an adhesion layer 1910 may underlie all or a portion of the bottom metal layer 1908.
  • the adhesion layer 1910 may comprise a thin layer of silicon nitride.
  • the adhesion layer 1910 may be utilized to enhance adhesion of the platform 902 to the underlying structure to which it is attached.
  • FIG. 10 is a perspective view of the IR detector of FIG. 9 with the absorber 1902 removed for purposes of illustration.
  • no membrane 106 e.g., FIG. 1
  • the absorber post 108 attaches directly to the arms 112 containing the thermocouple wires 110.
  • the removal of material contained in the membrane 106 reduces thermal mass of the hot junction and improves the time constant of the device 1900.
  • Parylene having a low thermal conductivity, may be used to fabricate various structures of the device 1900, such as the turning arms 112. As described above, following deposition of Parylene, other fabrication steps may be performed. Thus, in some embodiments, Parylene-N may be advantageous over Parylene-C due to its higher melting point.
  • the Parylene polymer may be deposited and then pattern using oxygen based plasma. Following patterning, oxygen plasma should be avoided through the rest of the fabrication. As described above, with respect to FIG 8(c), removal of sacrificial layers the arms 112 may deform due to internal stresses. This may cause electrical discontinuities in the thermocouples. To avoid this deformation, one or more tethers 1912 (also comprising Parylene, or Parylene-N) may be added to the structure 1900. These may be formed during the fabrication process as a part of the structure comprising the arms 112.
  • CM. Hanson Hybridpyroelec tric-ferroelectric bolometer arrays, in: P.W. Kruse, D.D. Skatrud (Eds.), Semiconductors and Semimetals, Vol. 47, Academic Press, San Diego, 1997, pp. 123-174 6.
  • T. Kanno M. Saga, S. Matsumoto, M. Uchida, N. Tsukamoto, A. Tanaka, S. Itoh, A. Nakazato, T. Endoh, S. Tohyama, Y. Yamamoto, S. Murashima, N. Fujimoto, N. Teranishi, "Uncooled infrared focal plane array having 128 128 thermopile detector elements," Proceedings of SPIE 2269 (1994) 450-459T.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Photometry And Measurement Of Optical Pulse Characteristics (AREA)

Abstract

La présente invention concerne un détecteur infrarouge thermoélectrique. Ce détecteur comporte une plateforme d'absorption, qui comprend une substance dont la température augmente en réaction au rayonnement infrarouge incident, et qui couvre sensiblement la totalité de la superficie du détecteur. Ce détecteur comprend un thermocouple sensiblement suspendu par un bras relié à un substrat de façon à empêcher le contact avec le substrat.
PCT/US2012/041902 2010-12-13 2012-06-11 Détecteur infrarouge thermoélectrique à nanofils WO2013089824A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/365,308 US20140326883A1 (en) 2010-12-13 2012-06-11 Nanowire thermoelectric infrared detector

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2011/064744 WO2012082801A2 (fr) 2010-12-13 2011-12-13 Détecteur infrarouge thermoélectrique à nanofils
USPCT/US2011/064744 2011-12-13
USPCT/US2011/006474 2011-12-13

Publications (2)

Publication Number Publication Date
WO2013089824A1 true WO2013089824A1 (fr) 2013-06-20
WO2013089824A8 WO2013089824A8 (fr) 2016-03-31

Family

ID=48613069

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2012/041902 WO2013089824A1 (fr) 2010-12-13 2012-06-11 Détecteur infrarouge thermoélectrique à nanofils

Country Status (1)

Country Link
WO (1) WO2013089824A1 (fr)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2887032A1 (fr) * 2013-12-19 2015-06-24 Excelitas Technologies Singapore Pte Ltd. Procédé intégré CMOS pour la fabrication de pixel thermopile avec absorbeur de protection sur un substrat semi-conducteur
US9324760B2 (en) 2014-01-21 2016-04-26 Excelitas Technologies Singapore Pte. Ltd CMOS integrated method for fabrication of thermopile pixel on semiconductor substrate with buried insulation regions
US9373772B2 (en) 2014-01-15 2016-06-21 Excelitas Technologies Singapore Pte. Ltd. CMOS integrated method for the release of thermopile pixel on a substrate by using anisotropic and isotropic etching
RU2681224C1 (ru) * 2017-12-27 2019-03-05 Общество с ограниченной ответственностью "ГрафИмпресс" Оптимизированный термопарный сенсор
IT202000001879A1 (it) * 2020-01-31 2021-07-31 St Microelectronics Srl Generatore termoelettrico

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5450053A (en) * 1985-09-30 1995-09-12 Honeywell Inc. Use of vanadium oxide in microbolometer sensors
US20020135864A1 (en) * 2000-04-25 2002-09-26 Chen-Wei Chiu Silicon micromachined optical device
US20040021403A1 (en) * 2002-08-01 2004-02-05 Georgia Tech Research Corporation Piezoelectric on semiconductor-on-insulator microelectromechanical resonators and methods of fabrication
US20040140429A1 (en) * 2002-12-02 2004-07-22 Raytheon Company Passive millimeter wave sensor using high temperature superconducting leads
US20060075803A1 (en) * 2004-07-09 2006-04-13 Danmarks Tekniske Universitet Polymer-based cantilever array with optical readout
US20080251723A1 (en) * 2007-03-12 2008-10-16 Ward Jonathan W Electromagnetic and Thermal Sensors Using Carbon Nanotubes and Methods of Making Same
US20090121136A1 (en) * 2007-11-12 2009-05-14 Commissariat A L'energie Atomique Electromagnetic radiation detector with nanowire thermometer and method for producing same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5450053A (en) * 1985-09-30 1995-09-12 Honeywell Inc. Use of vanadium oxide in microbolometer sensors
US20020135864A1 (en) * 2000-04-25 2002-09-26 Chen-Wei Chiu Silicon micromachined optical device
US20040021403A1 (en) * 2002-08-01 2004-02-05 Georgia Tech Research Corporation Piezoelectric on semiconductor-on-insulator microelectromechanical resonators and methods of fabrication
US20040140429A1 (en) * 2002-12-02 2004-07-22 Raytheon Company Passive millimeter wave sensor using high temperature superconducting leads
US20060075803A1 (en) * 2004-07-09 2006-04-13 Danmarks Tekniske Universitet Polymer-based cantilever array with optical readout
US20080251723A1 (en) * 2007-03-12 2008-10-16 Ward Jonathan W Electromagnetic and Thermal Sensors Using Carbon Nanotubes and Methods of Making Same
US20090121136A1 (en) * 2007-11-12 2009-05-14 Commissariat A L'energie Atomique Electromagnetic radiation detector with nanowire thermometer and method for producing same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2887032A1 (fr) * 2013-12-19 2015-06-24 Excelitas Technologies Singapore Pte Ltd. Procédé intégré CMOS pour la fabrication de pixel thermopile avec absorbeur de protection sur un substrat semi-conducteur
US9373772B2 (en) 2014-01-15 2016-06-21 Excelitas Technologies Singapore Pte. Ltd. CMOS integrated method for the release of thermopile pixel on a substrate by using anisotropic and isotropic etching
US9324760B2 (en) 2014-01-21 2016-04-26 Excelitas Technologies Singapore Pte. Ltd CMOS integrated method for fabrication of thermopile pixel on semiconductor substrate with buried insulation regions
RU2681224C1 (ru) * 2017-12-27 2019-03-05 Общество с ограниченной ответственностью "ГрафИмпресс" Оптимизированный термопарный сенсор
IT202000001879A1 (it) * 2020-01-31 2021-07-31 St Microelectronics Srl Generatore termoelettrico
US11871668B2 (en) 2020-01-31 2024-01-09 Stmicroelectronics S.R.L. Thermoelectric generator

Also Published As

Publication number Publication date
WO2013089824A8 (fr) 2016-03-31

Similar Documents

Publication Publication Date Title
US20140326883A1 (en) Nanowire thermoelectric infrared detector
Xu et al. MEMS-based thermoelectric infrared sensors: A review
US7755048B2 (en) Large format thermoelectric infrared detector and method of fabrication
Iborra et al. IR uncooled bolometers based on amorphous Ge/sub x/Si/sub 1-x/O/sub y/on silicon micromachined structures
Ziouche et al. Silicon-based monolithic planar micro thermoelectric generator using bonding technology
WO2013089824A1 (fr) Détecteur infrarouge thermoélectrique à nanofils
Li et al. A front-side microfabricated tiny-size thermopile infrared detector with high sensitivity and fast response
Lee et al. A high fill-factor infrared bolometer using micromachined multilevel electrothermal structures
Xu et al. Design, fabrication and characterization of a front-etched micromachined thermopile for IR detection
Zhou et al. CMOS compatible midinfrared wavelength-selective thermopile for high temperature applications
Modarres-Zadeh et al. High-responsivity thermoelectric infrared detectors with stand-alone sub-micrometer polysilicon wires
Xu et al. Uncooled thermoelectric infrared sensor with advanced micromachining
Akin Low-cost LWIR-band CMOS infrared (CIR) microbolometers for high volume applications
Ihring et al. Surface-micromachined thermoelectric infrared focal-plane array with high detectivity for room temperature operation
Lei et al. A CMOS-MEMS IR device based on double-layer thermocouples
Xu et al. Self-aligned thermoelectric infrared sensors with post-CMOS micromachining
Ihring et al. A planar thin-film peltier cooler for the thermal management of a dew-point sensor system
Mattsson et al. Design of a Micromachined Thermopile Infrared Sensor With a Self-Supported ${\rm SiO} _ {2}/{\rm SU}{-} 8$ Membrane
Lin et al. Monolithic integration of plasmonic meta-material absorber with CMOS-MEMs infrared sensor for responsivity enhancement and human detection application
Shen et al. Responsivity enhancement of CMOS-MEMS thermoelectric infrared sensor by heat transduction absorber design
Yoo et al. Fabrication of thin-film thermopile micro-bridge with XeF2 etching process
Modarres-Zadeh et al. Parylene supported 20um* 20um uncooled thermoelectric infrared detector with high fill factor
Huang et al. Novel High Thermal Resistance Structure Design for Responsivity and Detectivity Enhancements of Cmos Mems Thermoelectric Infrared Sensor
Tseng et al. A CMOS MEMS thermal sensor with high frequency output
Xue et al. 100-μm-scale high-detectivity infrared detector with thermopile/absorber double-deck structure formed in (111) silicon

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12857346

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12857346

Country of ref document: EP

Kind code of ref document: A1