WO2013016989A1 - Test stimuli compression and test response compaction in low-power scan testing - Google Patents

Test stimuli compression and test response compaction in low-power scan testing Download PDF

Info

Publication number
WO2013016989A1
WO2013016989A1 PCT/CN2012/077512 CN2012077512W WO2013016989A1 WO 2013016989 A1 WO2013016989 A1 WO 2013016989A1 CN 2012077512 W CN2012077512 W CN 2012077512W WO 2013016989 A1 WO2013016989 A1 WO 2013016989A1
Authority
WO
WIPO (PCT)
Prior art keywords
scan
test
flops
flip
subset
Prior art date
Application number
PCT/CN2012/077512
Other languages
French (fr)
Inventor
Dong Xiang
Zhen Chen
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Publication of WO2013016989A1 publication Critical patent/WO2013016989A1/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors

Definitions

  • the present invention relates to digital system testing, particularly to test stimuli compression and test response compaction in the low-power testing scheme.
  • Scan testing method is widely used in the very large scale integrated (VLSI) circuits testing.
  • VLSI very large scale integrated
  • each flip-flop is transformed to a scan cell that has two inputs, and one is the normal D input while the other is feed by the output of another flip-flop.
  • the scan cells can be connected as a line, referred to as a scan chain.
  • the test vector is shifted into the scan chain, and then applied to the circuit under test. Finally, the test response is shifted out of the scan chain.
  • ICs integrated circuits
  • Test response compaction is another important issue to reduce test data volume. It can be divided into time compaction and space compaction. Time compaction methods generate output signature using the output bits among multiple test cycles, while space compaction methods use the test response from multiple outputs in the same cycle to generate signature. Aliasing and unknown are two important factors during the compaction since they can destroy the observed response.
  • DFT-based methods that rely on design techniques such as clock disabling, scan-in disabling, and scan chain partitioning
  • ATPG-based methods that are based on X-filling or the modification of the ATPG algorithm. Some methods are filling the X bits to reduce test power. Other pattern based methods use the modified test generation procedure to generate test patterns with low power in test application.
  • test data volume and test power Many methods were proposed to reduce test data volume and test power. However, they cannot solve the test stimuli, test response and test power at the same time. A new test application scheme with test stimuli compression and response compaction is proposed to solve the problems simultaneously.
  • a new test application scheme based on scan forest was proposed to reduce test power and compress test data.
  • a combination of the scan architecture and a test data compression scheme can more effectively compress test data.
  • the new test application scheme was implemented by combining a clock disabling technique and the repetition function of the ATE, which significantly reduces both shift power and capture power.
  • Test response data were compacted effectively by using the structure information of the circuit.
  • a new scheme called selective test response collection was proposed to compact test response data further, which can be easily implemented by the current ATEs.
  • a simple graph theoretic model and an approximate procedure were proposed to establish the new test response compactor based on the selective response collection scheme and the coordination measure.
  • the test response compactor to tolerate unknowns was further proposed based on selective test response collection.
  • Fig. 1 illustrates the basis theory for selective test response compaction.
  • Fig. 2 - Fig. 4 illustrate the procedure to construct test response compactor.
  • Fig. 5 illustrates the test compression scheme.
  • Fig. 6 illustrates the scan architecture with selective test response compactor.
  • Fig. 7 illustrates the low power test application scheme.
  • Fault effects of any detected fault can be propagated to multiple sites. However, it is enough if one of the sites can be observed. This idea is used to establish a new test response compactor. As shown in Fig. 1, all scan chains are partitioned into three subsets G , G 2 , and G 3 . Two faults fi and f 2 are detected by a test vector. Let fault effects of fi and f 2 be propagated to scan flip-flops (A, B, F, H , and (C, E, I), respectively. Only test responses captured by scan flip-flops in G are enough to detect both faults. This can significantly reduce test response data volume.
  • fault effects of /i are propagated to c 2 , c 9 , and en
  • fault effects of the fault f 2 are propagated to c ⁇ , c 3 , C5, and en.
  • the fault effects of the five faults are propagated to eight scan flip-flops. It is enough to observe test responses at c 2 and c 3 for test vector vi.
  • the minimum covering problem is a known NP-hard problem.
  • c 3 is chosen first as the necessary observation scan flip-flops for test vector v 1? where the most number of faults can be observed at c 3 . Our method then selects c 2 , where two new faults can be observed from c 2 . That is, c 2 and c 3 are the necessary observation scan flip-flops for the test vector vi.
  • Equation (1) Let group (1, 2) be selected and placed at the first level of the first scan tree.
  • the coordination measures as presented in Equation (1) between (1,2) and any other group are ⁇ 2,1,2,0,2,2,2,3 ⁇ , the scan flip-flop group (17,18) is selected and placed at the second level of the scan tree.
  • the measures between the constructed partial scan tree and any other seven scan flip-flop groups are ⁇ 5, 3, 6, 0, 5, 6, 5 ⁇ .
  • the group (7, 8) is selected to be placed at the third level of the scan tree.
  • the scan flip-flop group (3, 4) be selected as the first level for the second scan tree.
  • the measure between (3,4) and any one of (5,6), (9,10), (11,12), (13,14), (15,16) as presented in Equation (1) are ⁇ 2,2,3,2,1 ⁇ .
  • the group (11, 12) is selected and placed at the second level of the second tree.
  • the coordination measures between the second tree and any pair of (5, 6), (9, 10), (13, 14), and (15, 16) are ⁇ 8, 7, 5, 4 ⁇ . That is, the group (5, 6) is selected and placed at the third level of the second tree.
  • the third tree is established as presented in Fig. 4.
  • CCG chain connected graph
  • a heuristic algorithm is proposed to construct the test response compactor based on selective test response collection.
  • the node set of the CCG includes all scan chains. Any pair of scan chains C ⁇ (c 1? ⁇ 3 ⁇ 4, . . . , Cd) and C 2 (c , c , . . .
  • Each node C in the CCG is assigned a weight, while each edge is also assigned another weight.
  • the weight w ⁇ assigned to a node C represents the corresponding summation of the coordination measures for any pair of scan flip-flops in the same chain for all test vectors. Estimation of w ⁇ for a scan chain is presented in Equation (2).
  • the weight w 2 given by Equation (3) assigned to an edge (C 1? C 2 ) in the CCG represents summation of the coordination measures between any pair of scan flip-flops in both scan chains C ⁇ and C 2 , where both scan flip-flops do not need to be at the same level.
  • vzV c CjZC 2
  • the test response compactor can be established after the CCG has been generated.
  • Our method first selects the node vi with the most weight. An edge with the most weight, which connects the node Vi and v 2 , is chosen. The node with the most weight is selected when multiple edges connected to Vi have the same most weight. The third node v 3 , which is connected to both vi and v 2 , is further selected similarly if the given number of scan chain in one subset of scan chain driven by the same clock signal still has not been chosen. The node v 3 must have the most weight summation for the edges (v 1? v 3 ) and (v 2 , v 3 ). The node with the most weight is selected when multiple nodes connected to Vi and v 2 have the most edge weight summation.
  • the above process continues until the given number of scan chains has been selected or no scan chain can be connected to the XOR tree.
  • the similar scheme is used to construct the second XOR tree when the number of scan chains is no more than the given number for a single subset. This process continues until the number of scan chains has been chosen. The above process continues until all scan chain subsets have been selected.
  • the CCG for the scan chains as presented in Fig. 3 is established as presented in Fig. 4, where weights for the edges and the nodes are provided. A pair of nodes in the CCG is not connected if connection of two scan chains introduces some aliasing faults.
  • the node C 4 with the most weight is selected.
  • the chain C 2 has the most coordination measure with C 4 , which is selected and connected to the same XOR tree 0 ⁇ .
  • the nodes C 2 and C are deleted from the CCG.
  • the node C ⁇ is selected, and the other node 5 is connected to the same XOR tree 0 2 .
  • the remaining scan chains C 3 and C 6 are connected to the third OR tree 0 3 .
  • Selective encoding is a cost-effective test compression scheme that supports multiple scan chains.
  • the general architecture of the compression method is shown in Fig. 5.
  • a scan slice is a set of test data applied to the multiple scan chain inputs in a cycle.
  • obit slice is decoded into «-bit scan slice, where c 1.
  • c In the obit encoded test data, it contains two control bits and c -2 bits of test data, and the control bits dominate the encoding mode of the slice.
  • the number of scan-in pins is an important factor that has impact on the performance of the selective encoding scheme.
  • the proposed method may introduce high test power, since it only concerns the bits in a scan slice and pays no attention to the adjacent bits in a scan chain.
  • Our scan architecture and test application scheme are quite suitable for this compression method.
  • the proposed low-power scan testing scheme can be compatible with the selective encoding scheme.
  • a DFT based clock disabling scheme is proposed to reduce test power when the test compression feature is still guaranteed.
  • the proposed clock disabling scheme needs to apply each test vector multiple times.
  • the test application scheme can be implemented by using the repetition function of the ATE.
  • ATE memory includes two parts: one for vector memory and the other for instruction. Usually, the first part dominates the ATE memory.
  • the ATE repetition function requires a little extra amount of ATE memory, which is much less than the vector memory.
  • a new test application scheme is proposed based on the scan forest architecture with a clock disabling scheme as presented in Fig. 6, which can effectively compress test data and reduce test power.
  • the new technique compacts test responses very well at the same time.
  • Our method makes the test application cost no more than that of the multiple scan chain designed circuit.
  • the scan trees are partitioned into multiple subsets by finding a trade-off between test application time and test power.
  • An extra register with reg bits is used, where each bit of the register drives a subset of scan trees. All scan flip-flops in the same scan tree are driven by the same clock signal. Only one bit of the extra register is set to value 1, and all other bits are set to 0. This makes only one subset of scan trees activated in any clock cycle.
  • a test vector is shifted into the first subset of scan trees that are driven by the clock signal R'i in d clock cycles.
  • the extra register is then set to the next status.
  • the test vector is continually loaded to the scan trees subset by subset until it has been loaded to all scan trees.
  • Test responses are captured in the following way. Scan flip-flops in each subset of scan trees capture test response separately. The scan flip-flops keep the test responses after they have captured test responses. The test vector must be loaded to the subset of scan trees again, and the captured test responses are shifted out simultaneously before the next subset of scan trees capture test responses. The above process continues until all subsets of scan trees have captured test responses. The last subset of scan trees shift in the next test vector when shifting out the captured test responses. It is not necessary to refill the last subset of scan trees with the test vector at this point.
  • a test vector is shifted into the scan chains subset by subset. Only a subset of scan chains is activated, and all other scan chains are deactivated. Therefore, shift power can be reduced significantly.
  • the scan trees that belong to the same subset capture their test responses in a single capture cycle when other scan trees are deactivated. This reduces the capture power greatly.
  • a new test application scheme is proposed for the new test response compactor as presented in Fig. 7 based on the selective test response collection, according to which only the necessary subsets of scan chains capture test responses.
  • the scan chain subset that does not need to capture test responses does not need to refill the test vector. Therefore, test application time and test response data can be reduced significantly.
  • the scan chains are partitioned into multiple subsets according to the approximate procedure, where each subset of scan chains is driven by the same clock signal.
  • the test response compactor is established according to the weighted CCG and the approximate procedure.
  • the scan chain subsets are swept for each test vector by recording the subsets of scan chains that must be observed.
  • a subset of scan chains must capture test responses if at least one of the scan flip-flops in the scan chains is the necessary observation scan flip-flop of any fault detected by the test vector.
  • the compacted test responses from the outputs of the test response compactor must be sent back to the ATE.
  • test data is again applied into this subset of scan chains when shifting out the captured test responses if at least one more subset of scan chains must capture test responses. The process continues until all recorded subsets of scan chains have captured test responses. The last subset of scan chains, which capture test responses, shift out the test responses when shifting in the next test vector.
  • test application cost we would like to reduce the test application cost by using common part of consecutive test vectors.
  • the common part between the test responses of the previous test vector and the current vector is explored to reduce test application cost, which was combined with a parity-tree-based scan testing scheme.
  • All scan flip-flops, except the ones in the last subset of scan chains that capture test responses keep the test data.
  • the scan flip-flops in the last subset of scan chains, that captured test responses of the previous test vector keep the test responses. It is nice if test vectors can be ordered to utilize the common parts between any consecutive pair of test vectors for test application time reduction.
  • Test vectors are ordered after the scan trees and the test response compactor have been established. The test vectors are ordered as follows: a test vector is randomly selected first; the second vector should have the most number of scan chain subsets, whose scan flip-flops are assigned the same values as the first vector; continue the above process until all test vectors are ordered.
  • test responses may contain enough unknowns. They may originate from un-initialized memory elements, floating bus drivers, false and multi-cycle paths and other sources that are found in real designs. In this section, we would like to extend the technique to test response compactor construction when the responses contain some unknowns based on the selective test response collection scheme.
  • Our method clusters scan flip-flops, which are necessary observation scan flip-flops for most test vectors, into the same subset of scan chains. Our method also groups scan flip-flops that produce unknowns into the same groups to tolerate unknowns. We still use the coordination measure to estimate the possibility for any pair of scan flip-flops to produce unknowns. We still use p v (i, j) to evaluate the possibility for simultaneous observation of any pair of scan flip-flops i and j for test vector v. Determination of the necessary observation scan flip-flops is the same as that presented in Section III.
  • Another coordination measure uk v (i, j) is used to cluster the scan flip-flops that produce unknowns in order to avoid aliasing for the test response compactor based on selective test response collection.
  • Each scan chain is still driven by the same clock signal.
  • Our method selects another group G of scan flip-flops (c , c' 2 , . . . , c' g ).
  • Our method tries to connect the scan flip-flops c , c' 2 , . . . , c' g to any of C , C 2 , . . . , C g .
  • the summation of the coordination measures for each pair of scan flip-flops placed in the same scan chain should be maximized as presented in Equation(4).
  • Equation(4) is used to evaluate the coordination measure for test response compactor with unknowns when constructing the scan trees.
  • Equation(4) the definition of p v (i, j) is the same as before, and uk v (i, j) is the coordination measure to cluster the scan flip-flops that generate unknowns.
  • C is any one of the scan chains in the scan tree, and c ⁇ is a scan flip-flop in C .
  • uk v (i, j) l if both scan Ci C k and c' g ⁇ i capture the unknown signal by the vector v £ V.
  • Our method tries to evaluate the coordination measures for a number of scan flip-flop groups, and the scan flip-flop group G with the maximal summation of coordination measures is selected. Our method sets a larger weight for the unknown coordination measure because the unknowns must be avoided in order to deliver the valuable response signals back to the ATE.
  • test response compactor A new technique is proposed to establish the test response compactor with unknowns based on the selective test response collection scheme after the scan trees have been constructed.
  • the principle to construct the test response compactor is to minimize the total number of scan chain subsets that are necessary to collect test responses for all test vectors, while the unknowns do not produce any aliasing. Assume that each subset of scan chains is driven by a separate clock signal. We cluster scan chains, which capture test responses of the most common faults for the same test vectors, into the same subset. Simultaneously, the new method also groups the scan flip-flops that simultaneously produce unknowns into the same scan chain subsets. The test response compactor does not need any more extra logic to eliminate unknowns compared to the one with no unknown.
  • the node set of the CCG includes all scan chains. Any pair of scan chains C ⁇ (c 1? ⁇ 3 ⁇ 4, . . . , Q) and C 2 (c , c , . . . , c' d ) can be connected to the same XOR gate in the test response compactor if none of the scan flip-flop pairs (cl, c ), (c2, c' 2 ), . . .
  • the weight w 4 given by Equation (6) assigned to an edge (C 1? C 2 ) in the CCG represents summation of the coordination measures between any pair of scan flip-flops in both scan chains C , and C 2 , where both scan flip-flops do not need to be at the same level.

Abstract

It is essential to propose a new scan testing scheme that efficiently compresses stimulus data and compacts test responses, provides low-power feature, and reduces test application cost significantly. A new test response compaction scheme called selective test response collection is proposed to reduce test response data, which is combined with a structure-analysis-based test response compactor by using a new coordination measure. The scan architecture is also established considering the coordination relationship between scan flip-flops. A new low-power test application scheme is proposed, which is able to compress test data very well and the test application time remains at the level of multiple scan chain designs. A combination of a scan architecture and an existent test compression scheme can greatly compress test data.

Description

Test stimuli compression and test response compaction in
low-power scan testing
Technical Field
The present invention relates to digital system testing, particularly to test stimuli compression and test response compaction in the low-power testing scheme.
Background Art
Scan testing method is widely used in the very large scale integrated (VLSI) circuits testing. In the scan testing scheme, each flip-flop is transformed to a scan cell that has two inputs, and one is the normal D input while the other is feed by the output of another flip-flop. In this way, the scan cells can be connected as a line, referred to as a scan chain. In the test application, the test vector is shifted into the scan chain, and then applied to the circuit under test. Finally, the test response is shifted out of the scan chain.
With the ever increasing integration density, nowadays large integrated circuits (ICs) contain more scan cells and faults, which require an increasing amount of data to test them. At the same time, ICs' power dissipation in scan-based testing can be significantly higher than that during normal operations, in both shift mode and capture mode.
Many compression strategies were proposed to reduce the test stimuli data volume stored in the ATE. They can be categorized into three kinds: (1) code-based methods; (2) linear decompressor-based compression (LDC) methods; and (3) broadcast-based methods. Coding-based methods are based on the coding theory, using some encoding methods to compress the test stimuli. LDC methods use the linear decompressor circuit to compress the test stimuli and its basis theory is the linear equation systems. Broadcast-based methods explore the compatibility between different bits in a test vector, in order to feed multiple scan cells with the same input bit.
Test response compaction is another important issue to reduce test data volume. It can be divided into time compaction and space compaction. Time compaction methods generate output signature using the output bits among multiple test cycles, while space compaction methods use the test response from multiple outputs in the same cycle to generate signature. Aliasing and unknown are two important factors during the compaction since they can destroy the observed response.
Many methods have been proposed to solve the shift and/or capture power. They can be categorized into two kinds: (1) DFT-based methods that rely on design techniques such as clock disabling, scan-in disabling, and scan chain partitioning; (2) ATPG-based methods that are based on X-filling or the modification of the ATPG algorithm. Some methods are filling the X bits to reduce test power. Other pattern based methods use the modified test generation procedure to generate test patterns with low power in test application.
Many methods were proposed to reduce test data volume and test power. However, they cannot solve the test stimuli, test response and test power at the same time. A new test application scheme with test stimuli compression and response compaction is proposed to solve the problems simultaneously.
Contents of the Invention
A new test application scheme based on scan forest was proposed to reduce test power and compress test data. A combination of the scan architecture and a test data compression scheme can more effectively compress test data. The new test application scheme was implemented by combining a clock disabling technique and the repetition function of the ATE, which significantly reduces both shift power and capture power. Test response data were compacted effectively by using the structure information of the circuit. A new scheme called selective test response collection was proposed to compact test response data further, which can be easily implemented by the current ATEs. A simple graph theoretic model and an approximate procedure were proposed to establish the new test response compactor based on the selective response collection scheme and the coordination measure. The test response compactor to tolerate unknowns was further proposed based on selective test response collection.
Brief Description of Figures
Fig. 1 illustrates the basis theory for selective test response compaction.
Fig. 2 - Fig. 4 illustrate the procedure to construct test response compactor.
Fig. 5 illustrates the test compression scheme.
Fig. 6 illustrates the scan architecture with selective test response compactor.
Fig. 7 illustrates the low power test application scheme.
Specific Mode for Carrying out the Invention
Fault effects of any detected fault can be propagated to multiple sites. However, it is enough if one of the sites can be observed. This idea is used to establish a new test response compactor. As shown in Fig. 1, all scan chains are partitioned into three subsets G , G2, and G3. Two faults fi and f2 are detected by a test vector. Let fault effects of fi and f2 be propagated to scan flip-flops (A, B, F, H , and (C, E, I), respectively. Only test responses captured by scan flip-flops in G are enough to detect both faults. This can significantly reduce test response data volume.
We would like to assign a coordination measure for any pair of scan flip-flops according to the generated test set. A table is established for each test vector based on the fault simulation information as presented in Fig. 2(a). Let the circuit have eighteen scan flip-flops c\ _ig, i 5 be five faults, and vi 6 be six test vectors. The test vector vi covers faults f\,f2, fo, f4 and /5. We set the corresponding bit to one when the fault effect of a fault is propagated to the scan flip-flop. As shown in Fig. 2(a), fault effects of /i are propagated to c2, c9, and en, while fault effects of the fault f2 are propagated to c\, c3, C5, and en. Totally, the fault effects of the five faults are propagated to eight scan flip-flops. It is enough to observe test responses at c2 and c3 for test vector vi.
Selection of the smallest number of scan flip-flops to observe the fault effects of all detected faults can be formulated into a minimum covering problem. The minimum covering problem is a known NP-hard problem. We would like to find an approximate procedure to get the minimum number of necessary observation scan flip-flops for each test vector: (1) Our method first finds the scan flip-flop c\, where the most number of faults detected by the test vector can be observed; (2) we select the next scan flip-flop c2, where the most number of faults, that are not observed at c\, can be observed from c2; (3) this process continues until all faults detected by the test vector can be observed from at least one flip-flop.
As shown in Fig. 2(a), c3 is chosen first as the necessary observation scan flip-flops for test vector v1? where the most number of faults can be observed at c3. Our method then selects c2, where two new faults can be observed from c2. That is, c2 and c3 are the necessary observation scan flip-flops for the test vector vi.
Our method would like to cluster scan flip-flops that are required observing test responses by the most test vectors into the same subset of scan chains, so that the test response data volume can be well- compacted and the test application cost can be reduced efficiently. Fig. 2(b) presents the table for six test vectors and eighteen scan flip-flops. Let us introduce a new measure pv(i, j) to estimate the coordination relation between any pair of scan flip-flops i and j for test vector v. We have pv(i, j) = 1 if scan flip-flops i and j must be observed for the test vector v, otherwise, pv(i, j) = 0.
Let (ci, <¾, . . . , Cd) be a scan flip-flop group G, and V and S be the test set and the scan flip-flop set. Our method selects another group Gi of scan flip-flops (c , c , . . . , c'<*). Our method connects the scan flip-flops c'i, c'2, . . . , c' d to any of c <¾, . . . , cd. The summation of the coordination measures for each pair of scan flip-flops placed in the same scan chain zed as presented in Equation (1):
Figure imgf000006_0001
where pv(i, j) is the coordination measure corresponding to the test vector v, and Ck is any one of the scan chains in the scan tree. The above process continues until the first scan tree has been constructed. All other scan trees can be established similarly.
An example is given to show the procedure for constructing scan trees. Assume that there are nine scan flip-flop groups (1,2), (3,4), (5,6), (7,8), (9,10), (11,12), (13,14), (15,16), and (17,18), where scan flip-flops in each group do not have any common combinational successor. The procedure is as follow:
(1) Let group (1, 2) be selected and placed at the first level of the first scan tree. The coordination measures as presented in Equation (1) between (1,2) and any other group are {2,1,2,0,2,2,2,3 }, the scan flip-flop group (17,18) is selected and placed at the second level of the scan tree.
(2) The measures between the constructed partial scan tree and any other seven scan flip-flop groups are {5, 3, 6, 0, 5, 6, 5 }. The group (7, 8) is selected to be placed at the third level of the scan tree.
(3) Let the scan flip-flop group (3, 4) be selected as the first level for the second scan tree. The measure between (3,4) and any one of (5,6), (9,10), (11,12), (13,14), (15,16) as presented in Equation (1) are {2,2,3,2,1 }. The group (11, 12) is selected and placed at the second level of the second tree. The coordination measures between the second tree and any pair of (5, 6), (9, 10), (13, 14), and (15, 16) are { 8, 7, 5, 4}. That is, the group (5, 6) is selected and placed at the third level of the second tree.
(4) Similarly, the third tree is established as presented in Fig. 4. After constructing the scan trees, we should focus on how to connect scan trees with different XOR outputs. In order to construct the test response compactor, we would like to establish a chain connected graph CCG (N, E). A heuristic algorithm is proposed to construct the test response compactor based on selective test response collection. The node set of the CCG includes all scan chains. Any pair of scan chains C\ (c1? <¾, . . . , Cd) and C2 (c , c , . . . , c'<*) can be connected to the same XOR gate in the test response compactor if none of the scan flip-flop pairs (cl, c' i), (c2, c'2), . . . , (cd, c'd) meets the following condition, that is, one scan flip-flop of the pair is selected as the necessary observation scan flip-flop and fault effect of the detected fault by the same test vector is also propagated to the other scan flip-flop of the pair.
Each node C in the CCG is assigned a weight, while each edge is also assigned another weight. The weight w\ assigned to a node C represents the corresponding summation of the coordination measures for any pair of scan flip-flops in the same chain for all test vectors. Estimation of w\ for a scan chain is presented in Equation (2). The weight w2 given by Equation (3) assigned to an edge (C1? C2) in the CCG represents summation of the coordination measures between any pair of scan flip-flops in both scan chains C\ and C2, where both scan flip-flops do not need to be at the same level. vzV c CjZC 2)
Figure imgf000008_0001
The test response compactor can be established after the CCG has been generated. Our method first selects the node vi with the most weight. An edge with the most weight, which connects the node Vi and v2, is chosen. The node with the most weight is selected when multiple edges connected to Vi have the same most weight. The third node v3, which is connected to both vi and v2, is further selected similarly if the given number of scan chain in one subset of scan chain driven by the same clock signal still has not been chosen. The node v3 must have the most weight summation for the edges (v1? v3) and (v2, v3). The node with the most weight is selected when multiple nodes connected to Vi and v2 have the most edge weight summation.
The above process continues until the given number of scan chains has been selected or no scan chain can be connected to the XOR tree. The similar scheme is used to construct the second XOR tree when the number of scan chains is no more than the given number for a single subset. This process continues until the number of scan chains has been chosen. The above process continues until all scan chain subsets have been selected.
The CCG for the scan chains as presented in Fig. 3 is established as presented in Fig. 4, where weights for the edges and the nodes are provided. A pair of nodes in the CCG is not connected if connection of two scan chains introduces some aliasing faults. First, the node C4 with the most weight is selected. The chain C2 has the most coordination measure with C4, which is selected and connected to the same XOR tree 0\. The nodes C2 and C are deleted from the CCG. The node C\ is selected, and the other node 5 is connected to the same XOR tree 02. The remaining scan chains C3 and C6 are connected to the third OR tree 03.
Selective encoding is a cost-effective test compression scheme that supports multiple scan chains. The general architecture of the compression method is shown in Fig. 5. A scan slice is a set of test data applied to the multiple scan chain inputs in a cycle. During the compression process, obit slice is decoded into «-bit scan slice, where c
Figure imgf000009_0001
1. In the obit encoded test data, it contains two control bits and c -2 bits of test data, and the control bits dominate the encoding mode of the slice. The number of scan-in pins is an important factor that has impact on the performance of the selective encoding scheme.
The proposed method may introduce high test power, since it only concerns the bits in a scan slice and pays no attention to the adjacent bits in a scan chain. Our scan architecture and test application scheme are quite suitable for this compression method. The proposed low-power scan testing scheme can be compatible with the selective encoding scheme.
A DFT based clock disabling scheme is proposed to reduce test power when the test compression feature is still guaranteed. The proposed clock disabling scheme needs to apply each test vector multiple times. The test application scheme can be implemented by using the repetition function of the ATE. ATE memory includes two parts: one for vector memory and the other for instruction. Usually, the first part dominates the ATE memory. The ATE repetition function requires a little extra amount of ATE memory, which is much less than the vector memory. We shall focus on the details of the scan architecture, test application scheme and test response compaction scheme.
A new test application scheme is proposed based on the scan forest architecture with a clock disabling scheme as presented in Fig. 6, which can effectively compress test data and reduce test power. The new technique compacts test responses very well at the same time. Our method makes the test application cost no more than that of the multiple scan chain designed circuit. In the new test application scheme, the scan trees are partitioned into multiple subsets by finding a trade-off between test application time and test power.
An extra register with reg bits is used, where each bit of the register drives a subset of scan trees. All scan flip-flops in the same scan tree are driven by the same clock signal. Only one bit of the extra register is set to value 1, and all other bits are set to 0. This makes only one subset of scan trees activated in any clock cycle. Let d be the length of the longest scan tree. As shown in Fig. 6, a test vector is shifted into the first subset of scan trees that are driven by the clock signal R'i in d clock cycles. The extra register is then set to the next status. The test vector is continually loaded to the scan trees subset by subset until it has been loaded to all scan trees.
Test responses are captured in the following way. Scan flip-flops in each subset of scan trees capture test response separately. The scan flip-flops keep the test responses after they have captured test responses. The test vector must be loaded to the subset of scan trees again, and the captured test responses are shifted out simultaneously before the next subset of scan trees capture test responses. The above process continues until all subsets of scan trees have captured test responses. The last subset of scan trees shift in the next test vector when shifting out the captured test responses. It is not necessary to refill the last subset of scan trees with the test vector at this point.
In shift cycles, a test vector is shifted into the scan chains subset by subset. Only a subset of scan chains is activated, and all other scan chains are deactivated. Therefore, shift power can be reduced significantly. The scan trees that belong to the same subset capture their test responses in a single capture cycle when other scan trees are deactivated. This reduces the capture power greatly.
A new test application scheme is proposed for the new test response compactor as presented in Fig. 7 based on the selective test response collection, according to which only the necessary subsets of scan chains capture test responses. The scan chain subset that does not need to capture test responses does not need to refill the test vector. Therefore, test application time and test response data can be reduced significantly. The scan chains are partitioned into multiple subsets according to the approximate procedure, where each subset of scan chains is driven by the same clock signal. The test response compactor is established according to the weighted CCG and the approximate procedure.
The scan chain subsets are swept for each test vector by recording the subsets of scan chains that must be observed. A subset of scan chains must capture test responses if at least one of the scan flip-flops in the scan chains is the necessary observation scan flip-flop of any fault detected by the test vector. The compacted test responses from the outputs of the test response compactor must be sent back to the ATE.
We use two extra vectors for each test vector to record whether the corresponding subset of scan chains need to be filled with the test vector, and capture test responses. That is, 4=1 if the test vector must be shifted into the k-th subset of scan chains, otherwise 4=0; ¾=1 if the k-th subset of scan chains must capture test responses. The ordering for the bits is the same as that of the extra register as presented in Fig. 7. The test vector is applied into a subset of scan chains when disabling all other scan chains. The process continues until all scan flip-flops have received the test vector. Each subset of scan chains, which must be observed for the test vector, captures test responses. The test data is again applied into this subset of scan chains when shifting out the captured test responses if at least one more subset of scan chains must capture test responses. The process continues until all recorded subsets of scan chains have captured test responses. The last subset of scan chains, which capture test responses, shift out the test responses when shifting in the next test vector.
We would like to reduce the test application cost by using common part of consecutive test vectors. The common part between the test responses of the previous test vector and the current vector is explored to reduce test application cost, which was combined with a parity-tree-based scan testing scheme. There is an interesting feature for the proposed test application scheme. All scan flip-flops, except the ones in the last subset of scan chains that capture test responses, keep the test data. The scan flip-flops in the last subset of scan chains, that captured test responses of the previous test vector, keep the test responses. It is nice if test vectors can be ordered to utilize the common parts between any consecutive pair of test vectors for test application time reduction.
For each subset of scan chains, it is not necessary to apply the test vector if the previous test vector has the same values as those for the current test vector. Test vectors are ordered after the scan trees and the test response compactor have been established. The test vectors are ordered as follows: a test vector is randomly selected first; the second vector should have the most number of scan chain subsets, whose scan flip-flops are assigned the same values as the first vector; continue the above process until all test vectors are ordered.
The test responses may contain enough unknowns. They may originate from un-initialized memory elements, floating bus drivers, false and multi-cycle paths and other sources that are found in real designs. In this section, we would like to extend the technique to test response compactor construction when the responses contain some unknowns based on the selective test response collection scheme.
Our method clusters scan flip-flops, which are necessary observation scan flip-flops for most test vectors, into the same subset of scan chains. Our method also groups scan flip-flops that produce unknowns into the same groups to tolerate unknowns. We still use the coordination measure to estimate the possibility for any pair of scan flip-flops to produce unknowns. We still use pv(i, j) to evaluate the possibility for simultaneous observation of any pair of scan flip-flops i and j for test vector v. Determination of the necessary observation scan flip-flops is the same as that presented in Section III.
Another coordination measure ukv(i, j) is used to cluster the scan flip-flops that produce unknowns in order to avoid aliasing for the test response compactor based on selective test response collection. Each scan chain is still driven by the same clock signal. Let (C1? C2, . . . , Cg) be the scan chains in the scan tree, and V be the test set. Our method selects another group G of scan flip-flops (c , c'2, . . . , c'g). Our method tries to connect the scan flip-flops c , c'2, . . . , c'g to any of C , C2, . . . , Cg. The summation of the coordination measures for each pair of scan flip-flops placed in the same scan chain should be maximized as presented in Equation(4).
Figure imgf000014_0001
Equation(4) is used to evaluate the coordination measure for test response compactor with unknowns when constructing the scan trees.
In Equation(4), the definition of pv(i, j) is the same as before, and ukv(i, j) is the coordination measure to cluster the scan flip-flops that generate unknowns. In Equation(4), C is any one of the scan chains in the scan tree, and c{ is a scan flip-flop in C . We have ukv(i, j)=l if both scan Ci Ck and c'g ε i capture the unknown signal by the vector v £ V. Our method tries to evaluate the coordination measures for a number of scan flip-flop groups, and the scan flip-flop group G with the maximal summation of coordination measures is selected. Our method sets a larger weight for the unknown coordination measure because the unknowns must be avoided in order to deliver the valuable response signals back to the ATE.
A new technique is proposed to establish the test response compactor with unknowns based on the selective test response collection scheme after the scan trees have been constructed. The principle to construct the test response compactor is to minimize the total number of scan chain subsets that are necessary to collect test responses for all test vectors, while the unknowns do not produce any aliasing. Assume that each subset of scan chains is driven by a separate clock signal. We cluster scan chains, which capture test responses of the most common faults for the same test vectors, into the same subset. Simultaneously, the new method also groups the scan flip-flops that simultaneously produce unknowns into the same scan chain subsets. The test response compactor does not need any more extra logic to eliminate unknowns compared to the one with no unknown.
Our method still establishes a chain connected graph CCG (N, E) to construct the test response compactor. The node set of the CCG includes all scan chains. Any pair of scan chains C\ (c1? <¾, . . . , Q) and C2 (c , c , . . . , c'd) can be connected to the same XOR gate in the test response compactor if none of the scan flip-flop pairs (cl, c ), (c2, c'2), . . . , (Q, c'd) meets the following condition, one scan flip-flop of the pair is selected as the necessary observation scan flip-flop and fault effect of the detected fault by any test vector is also propagated to the other scan flip-flop. Any necessary observation scan flip-flop will not be eliminated by any unknowns for any test vector. That is, no unknown test response occurs in the scan chain subset that contains at least one necessary observation scan flip-flop for the test vector. Each node C in the CCG is assigned a weight to construct a test response compactor that tolerates unknowns, while each edge is also assigned another weight. The weight w3 assigned to a node C represents the corresponding summation of the coordination measures for any pair of scan flip-flops in the same chain for all test vectors. Estimation of w3 for a scan chain is presented in Equation (5).
Figure imgf000016_0001
The weight w4 given by Equation (6) assigned to an edge (C1? C2) in the CCG represents summation of the coordination measures between any pair of scan flip-flops in both scan chains C , and C2, where both scan flip-flops do not need to be at the same level.

Claims

Claims
1. A method for selective test response collection in digital system testing, comprising:
distributing different scan flip-flops into different scan chains;
constructing a graph model to represent the relationship between scan chains; and,
connecting scan chains with XOR network to do test response compaction.
2. The method according to claim 1, wherein in the step of distributing different scan flip-flops into different scan chains, comprising:
scan cells in the same level within a scan tree have no common predecessor; and,
a summation of coordination measures for each pair of scan flip-flops placed in the same scan chain is maximized.
3. The method according to claim 2, wherein the summation of coordination measure for each pair of scan flip-flops placed in the same scan chain is maximized as presented in the following Equation:
M =∑∑ ∑ ∑ /V /. J . where pv(i, j) is the coordination measure corresponding to the test vector v, and Ck is any one of the scan chains in the scan tree.
4. The method according to claim 1, wherein in the step of constructing a graph model to represent the relationship between scan chains, comprising
establishing a chain connected graph CCG (N, E), a node set of the CCG includes all scan chains;
any pair of scan chains C\ (c1? <¾, . . . , Q) and C2 (c , c'2, . . . , c'<*) can be connected to the same XOR gate in a test response compactor if none of the scan flip-flop pairs (cl, c ), (c2, c'2), . . . , (Q, C'<*) meets the following condition: one scan flip-flop of the pair is selected as a necessary observation scan flip-flop and fault effect of the detected fault by the same test vector is also propagated to the other scan flip-flop of the pair.
5. The method according to claim 4, wherein the chain connected graph CCG (N, E) comprises:
each node C in the CCG is assigned a weight w\ to represent the corresponding summation of the coordination measures for any pair of scan flip-flops in the same scan chain for all test vectors;
weight w2 assigned to an edge (C1? C2) in the CCG represents a summation of the coordination measures between any pair of scan flip-flops in both scan chains C\ and C2, where both scan flip-flops do not need to be at the same level.
6. The method according to claim 1, wherein the step of connecting scan chains with XOR network to do test response compaction comprises:
a node vi with the most weight is selected and an edge with the most weight, which connects the node Vi and v2, is chosen;
the node with the most weight is selected when multiple edges connected to vi have the same most weight, noted as v2;
the third node v3that is connected to both vi and v2, is further selected similarly if the given number of scan chain in one subset of scan chain driven by the same clock signal still has not been chosen;
wherein the node v3 has the most weight summation for the edges (vi, v3) and (v2, v3).
7. A method for low-power testing scheme in digital system testing, comprising:
partitioning scan trees into different subsets by finding a trade-off between test application time and test power;
using an extra register with reg bits and each bit of the register drives a subset of scan trees;
capturing responses of scan flip-flops in each subset of scan trees separately;
shifting a test vector into the scan chains subset by subset.
8. The method according to claim 7, wherein in the step of using an extra register with reg bits and each bit of the register drives a subset of scan trees, comprising:
all scan flip-flops in the same scan tree are driven by the same clock signal;
only one bit of the extra register is set to value 1, and all other bits are set to 0; and,
a test vector is continually loaded to the scan trees subset by subset until it has been loaded to all scan trees.
9. The method according to claim 7, wherein in the step of capturing responses of scan flip-flops in each subset of scan trees separately, comprising:
the scan flip-flops keep the test responses after they have captured test responses; and,
the test vector must be loaded to the subset of scan trees again, and the captured test responses are shifted out simultaneously before the next subset of scan trees capture test responses.
10. A method for constructing unknown test response compactor in digital system testing, comprising:
constructing a test response compactor by minimizing a total number of scan chain subsets that are necessary to collect test responses for all test vectors, while the unknowns do not produce any aliasing; and
a coordination measure ukv(i, j) is used to cluster scan flip-flops that produce unknowns in order to avoid aliasing for the test response compactor based on selective test response collection.
11. The method according to claim 10, wherein in the step of a coordination measure ukv(i, j) is used to cluster the scan flip-flops, comprising:
a summation of the coordination measures for each pair of scan flip-flops placed in the same scan chain is maximized;
establishing a chain connected graph CCG (N, E), each node C in the CCG is assigned a weight to construct a test response compactor that tolerates unknowns, while each edge is also assigned another weight; a weight w3 assigned to a node C represents the corresponding summation of the coordination measures for any pair of scan flip-flops in the same chain for all test vectors;
a weight w4 assigned to an edge (C1? C2) in the CCG represents summation of the coordination measures between any pair of scan flip-flops in both scan chains C , and C2, where both scan flip-flops do not need to be at the same level.
PCT/CN2012/077512 2011-07-29 2012-06-26 Test stimuli compression and test response compaction in low-power scan testing WO2013016989A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201110217099.7A CN102305912B (en) 2011-07-29 2011-07-29 Low power consumption integrated circuit testing device with compressible data and method using same
CN201110217099.7 2011-07-29

Publications (1)

Publication Number Publication Date
WO2013016989A1 true WO2013016989A1 (en) 2013-02-07

Family

ID=45379788

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2012/077512 WO2013016989A1 (en) 2011-07-29 2012-06-26 Test stimuli compression and test response compaction in low-power scan testing

Country Status (2)

Country Link
CN (1) CN102305912B (en)
WO (1) WO2013016989A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9964596B2 (en) 2015-11-19 2018-05-08 Nxp Usa, Inc. Integrated circuit with low power scan system
US10338136B2 (en) 2016-08-29 2019-07-02 Nxp Usa, Inc. Integrated circuit with low power scan system
US11175338B2 (en) 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
CN114325294A (en) * 2020-09-30 2022-04-12 龙芯中科技术股份有限公司 Test method and device

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102305912B (en) * 2011-07-29 2014-06-04 清华大学 Low power consumption integrated circuit testing device with compressible data and method using same
CN102654561B (en) * 2012-04-17 2014-06-11 清华大学 Scanning and testing method based on three-dimensional chips
CN102879731B (en) * 2012-09-26 2015-10-28 清华大学 A kind of method of testing of digital integrated circuit
CN104950241B (en) * 2014-03-31 2017-10-24 联发科技(新加坡)私人有限公司 Integrated circuit and the method for setting up sweep test framework in integrated circuits
CN104122497B (en) * 2014-08-11 2016-09-21 中国科学院自动化研究所 The generative circuit of test vector and method needed for integrated circuit built-in self-test
CN105988080A (en) * 2015-03-03 2016-10-05 联发科技(新加坡)私人有限公司 Integrated circuit and electronic device, and method for establishing scanning test architecture
CN106546907B (en) * 2016-10-27 2019-06-21 清华大学 A kind of low power scan self testing circuit and self-test method
CN106646203B (en) * 2016-12-16 2019-03-05 北京航空航天大学 Prevent the dynamic obfuscation scan chain architecture using scan chain attack IC chip
CN108226743B (en) * 2016-12-22 2020-04-24 深圳市中兴微电子技术有限公司 Test vector generation method and device
CN108847849B (en) * 2018-07-25 2021-06-01 北京隆普智能科技有限公司 Basic coding and decoding unit and coder-decoder
CN109143039B (en) * 2018-10-09 2020-06-16 清华大学 Single-fixed-type fault low-power-consumption testing method
CN109581207B (en) * 2018-12-19 2020-12-11 天津大学 Low-cost circuit state control method for fault injection attack hardware simulation
CN109581206B (en) * 2018-12-19 2020-12-11 天津大学 Integrated circuit fault injection attack simulation method based on partial scanning
CN116324440A (en) * 2020-10-28 2023-06-23 华为技术有限公司 Decompression circuit generation method and device
CN115623658A (en) * 2021-07-13 2023-01-17 华为技术有限公司 Circuit board, electronic apparatus, and method of manufacturing circuit board

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1460923A (en) * 2003-06-25 2003-12-10 中国科学院计算技术研究所 Single-output feedback-free sequential test response compression circuit
US20060282730A1 (en) * 2005-04-06 2006-12-14 Semiconductor Technology Academic Research Center Semiconductor integrated circuit incorporating test configuration and test method for the same
US20060282728A1 (en) * 2005-05-18 2006-12-14 Grinchuk Mikhail I Methods for using checksums in X-tolerant test response compaction in scan-based testing of integrated circuits
US20090083597A1 (en) * 2007-09-21 2009-03-26 Synopsys, Inc. Method and Apparatus for Synthesis of Augmented Multimode Compactors
CN101762782A (en) * 2010-01-13 2010-06-30 詹文法 Method for compressing built-off self-test data of system-on-a-chip and special decoding unit thereof
CN102305912A (en) * 2011-07-29 2012-01-04 清华大学 Low power consumption integrated circuit testing device with compressible data and method using same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1440069A (en) * 2002-02-22 2003-09-03 清华大学 Nonscanning design testing spot structure with measurable performance of scanning design
CN1182577C (en) * 2002-11-08 2004-12-29 清华大学 Method for reducing expense of pin of non-scanning measurabl design

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1460923A (en) * 2003-06-25 2003-12-10 中国科学院计算技术研究所 Single-output feedback-free sequential test response compression circuit
US20060282730A1 (en) * 2005-04-06 2006-12-14 Semiconductor Technology Academic Research Center Semiconductor integrated circuit incorporating test configuration and test method for the same
US20060282728A1 (en) * 2005-05-18 2006-12-14 Grinchuk Mikhail I Methods for using checksums in X-tolerant test response compaction in scan-based testing of integrated circuits
US20090083597A1 (en) * 2007-09-21 2009-03-26 Synopsys, Inc. Method and Apparatus for Synthesis of Augmented Multimode Compactors
CN101762782A (en) * 2010-01-13 2010-06-30 詹文法 Method for compressing built-off self-test data of system-on-a-chip and special decoding unit thereof
CN102305912A (en) * 2011-07-29 2012-01-04 清华大学 Low power consumption integrated circuit testing device with compressible data and method using same

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
CHEN, Z. ET AL.: "Scan Chain Configuration Based X-filling for Low Power and High Quality Testing.", IET COMPUTERS & DIGITAL TECHNIQUES, vol. 4, no. ISS.1, 31 August 2010 (2010-08-31), pages 1 - 13 *
CHEN, ZHEN ET AL.: "A Unified Solution to Scan Test Volume, Time, and Power Minimization. 2010.", 23RD INTERNATIONAL CONFERENCE ON VLSI DESIGN., 7 January 2010 (2010-01-07), pages 9 - 14 *
O. SINANOGLU ET AL.: "X-Align: Improving the Scan Cell Observability of Response Compactors.", IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS., vol. 17, no. 10, 31 October 2009 (2009-10-31), pages 1392 - 1404 *
O. SINANOGLU ET AL.: "X-alignment Techniques for Improving the Observability of Response Compactors.", INTERNATIONAL TEST CONFERENCE., 6 November 2009 (2009-11-06), pages 1 - 10 *
WANG F.-M. ET AL.: "Time-space Test Response Compaction and Diagnosis Based on BCH Codes.", IET COMPUTERS & DIGITAL TECHNIQUES., vol. 3, no. ISS.3, 30 June 2009 (2009-06-30), pages 304 - 313 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9964596B2 (en) 2015-11-19 2018-05-08 Nxp Usa, Inc. Integrated circuit with low power scan system
US10338136B2 (en) 2016-08-29 2019-07-02 Nxp Usa, Inc. Integrated circuit with low power scan system
US11175338B2 (en) 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
CN114325294A (en) * 2020-09-30 2022-04-12 龙芯中科技术股份有限公司 Test method and device
CN114325294B (en) * 2020-09-30 2024-04-02 龙芯中科技术股份有限公司 Test method and device

Also Published As

Publication number Publication date
CN102305912B (en) 2014-06-04
CN102305912A (en) 2012-01-04

Similar Documents

Publication Publication Date Title
WO2013016989A1 (en) Test stimuli compression and test response compaction in low-power scan testing
JP3920640B2 (en) Test pattern compression method for integrated circuit test environment
JP5268656B2 (en) Multi-stage test response compactor
KR100859394B1 (en) Method of testing semiconductor integrated circuit and test pattern generating circuit
EP1242885B1 (en) Continuous application and decompression of test patterns to a circuit-under-test
US6684358B1 (en) Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US8499209B2 (en) At-speed scan testing with controlled switching activity
CN107544017A (en) Low-power consumption Weighted pseudo-random test method and relevant device based on vector compression
CN101226228B (en) compression device and method for determined self-testing data
Wohl et al. Fully X-tolerant combinational scan compression
WO2009137727A1 (en) Scan cell use with reduced power consumption
CN103499787A (en) Test data compression method, data uncompress device and data uncompress method
CN102279357A (en) Decomposed circuit interconnection testing method based on boundary scanning technology
Kavousianos et al. Multilevel-Huffman test-data compression for IP cores with multiple scan chains
Lee et al. Test response compaction via output bit selection
Lin et al. A multilayer data copy test data compression scheme for reducing shifting-in power for multiple scan design
CN109143039B (en) Single-fixed-type fault low-power-consumption testing method
Chloupek et al. On test time reduction using pattern overlapping, broadcasting and on-chip decompression
Li et al. Hybrid BIST based on repeating sequences and cluster analysis
CN105738800B (en) The low-power consumption deterministic BIST and Seed Compression method become based on single double jump
CN1928578A (en) Test circuit and test method thereof
Sinanoglu et al. Parity-based Output Compaction for Core-based SOCs [logic testing]
Chloupek et al. Test pattern compression based on pattern overlapping and broadcasting
Liu et al. X-tolerant tunable compactor for in-system test
Wang et al. A Low Overhead High Test Compression Technique Using Pattern Clustering With $ n $-Detection Test Support

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12820611

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: NOTING OF LOSS OF RIGHTS PURSUANT TO RULE 112(1) EPC (EPO FORM 1205A DATED 08/07/2014)

122 Ep: pct application non-entry in european phase

Ref document number: 12820611

Country of ref document: EP

Kind code of ref document: A1