WO2011068029A1 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
WO2011068029A1
WO2011068029A1 PCT/JP2010/070464 JP2010070464W WO2011068029A1 WO 2011068029 A1 WO2011068029 A1 WO 2011068029A1 JP 2010070464 W JP2010070464 W JP 2010070464W WO 2011068029 A1 WO2011068029 A1 WO 2011068029A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
etching
photoresist film
semiconductor device
manufacturing
Prior art date
Application number
PCT/JP2010/070464
Other languages
English (en)
French (fr)
Inventor
秀一郎 宇田
幸児 丸山
祐介 平山
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US13/512,372 priority Critical patent/US8716144B2/en
Priority to KR1020127013814A priority patent/KR101295889B1/ko
Publication of WO2011068029A1 publication Critical patent/WO2011068029A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device in which deep holes are formed in a substrate by plasma etching.
  • three-dimensional mounting technology has attracted attention as one method for integrating semiconductor devices.
  • deep holes are formed in a substrate with integrated circuits, stacked vertically, wiring is created in the deep holes with copper, etc., and the substrate is arranged in three dimensions. There is a way to raise.
  • this method of forming a deep hole it is important how quickly the deep hole can be formed at a low cost.
  • a resist pattern having an opening for forming a deep hole is created on a substrate in which an integrated circuit is formed, and a resist pattern (a photoresist film having an opening) is formed.
  • a method of performing etching using plasma as a mask is known.
  • the resist pattern is formed by applying a photoresist to form a photoresist film, and then performing exposure and development processes.
  • a so-called “Bosch process” is known in which deep holes are formed by alternately repeating an etching process for forming holes and a polymerization process for attaching polymers.
  • a technique is particularly known (for example, see Patent Document 1).
  • holes are formed using a mixed gas of SF 6 gas and Ar gas.
  • the polymer is attached to the inner wall of the hole and the substrate surface using a mixed gas of CHF 3 gas and Ar gas.
  • fine irregularities called scallops are formed on the sidewalls of the deep holes by alternately repeating the etching process and the polymerization process. If such fine irregularities are formed, when an insulating film is formed on the side wall of the deep hole in a subsequent process, the coverage of covering the side wall may be reduced, and the coverage may be deteriorated.
  • the present invention has been made in view of the above points, and with the photoresist film formed on the substrate as a mask, holes such as deep holes can be formed in the substrate in a good shape at a high etching rate and a high selectivity.
  • An object is to provide a method for manufacturing a semiconductor device.
  • a method of manufacturing a semiconductor device includes an installation step of installing the substrate, in which a photoresist film having an opening on the substrate is formed, in an etching chamber; Following the first etching step, the first etching step of plasma etching the substrate placed in the etching chamber using a first mixed gas containing at least SiF 4 and O 2 as a mask. And a second etching step of plasma-etching the substrate using a second mixed gas containing at least SF 6 , O 2, and HBr to form a hole in the substrate.
  • holes such as deep holes can be formed in a good shape with a high etching rate and a high selection ratio using a photoresist film formed on the substrate as a mask.
  • 3 is a flowchart for explaining a procedure of each step of the method for manufacturing a semiconductor device according to the first embodiment. It is a schematic sectional drawing which shows the structure of the board
  • FIG. 1 is a schematic cross-sectional view showing the configuration of the plasma etching apparatus.
  • the plasma etching apparatus 1 has a processing container 2.
  • the processing container 2 is made of, for example, aluminum whose surface is anodized to form an aluminum oxide film, and is grounded.
  • a transfer port 3 for carrying in and out the substrate is provided on the side surface of the processing container 2.
  • the inside of the processing container 2 is airtightly held by a gate valve 4 provided outside the transfer port 3.
  • An exhaust port 5 is provided on the bottom surface of the processing container 2, and an external vacuum pump 6 is connected to the exhaust port 5.
  • the vacuum pump 6 evacuates the inside of the processing container 2 through the exhaust port 5.
  • the upper surface of the processing container 2 is an upper electrode 7 that also serves as a shower plate for supplying gas.
  • a supply port 7a is provided on the upper surface of the processing container 2, and a gas supply source 8 is connected to the supply port 7a.
  • the gas supply source 8 supplies a gas or the like necessary for plasma etching into the processing container 2 through the supply port 7a.
  • the processing container 2 corresponds to the etching chamber in the present invention.
  • a lower electrode 9 on which a substrate S that is an object to be processed, such as a semiconductor wafer, is placed is disposed.
  • a first high frequency power source 11 and a second high frequency power source 12 are connected to the lower electrode 9 via a matching unit 10.
  • An electrostatic chuck electrode (not shown) connected to a high voltage DC power source (not shown) is provided inside the lower electrode 9 on the mounting surface.
  • the focus ring 13 made of quartz is disposed so as to surround the substrate S and the lower electrode 9.
  • An insulating member 14 made of ceramic or the like is disposed on the lower surface of the lower electrode 9.
  • the lower electrode 9 is supported by a holding member 15 and a bellows 16, and can be moved up and down by a drive unit (not shown).
  • the bellows 16 that can move up and down is shielded from the plasma atmosphere in the processing container 2 by a cover member 17 that extends downward from the holding member 15 to the outside of the bellows 16 and a cover member 18 that extends upward from the bottom surface of the processing container 2. ing.
  • the lower electrode 9 is included in the mounting table in the present invention. Further, placing the substrate S on the lower electrode 9 corresponds to placing the substrate in the present invention in the etching chamber.
  • a substrate S provided with a photoresist film having a predetermined opening, which will be described later, as a mask is carried into the processing container 2 of the plasma etching apparatus 1 through the transfer port 3 and placed on the lower electrode 9.
  • a high-voltage DC power supply (not shown) is turned on, and the substrate S is fixed on the lower electrode 9 by electrostatic force.
  • the processing gas is introduced into the processing container 2 from the gas supply source 8 through the shower plate 7.
  • the processing gas a mixed gas obtained by adding SiF 4 gas or HBr gas to SF 6 gas or O 2 gas is used.
  • the flow rates of the processing gas can be combined, for example, in the range of 50 to 150 sccm for SF 6 gas, 60 to 200 sccm for O 2 gas, 200 to 1000 sccm for SiF 4 gas, and 20 to 200 sccm for HBr gas.
  • the processing gas is set to a predetermined flow rate, and the pressure in the processing container 2 is set to a predetermined value in a state where the substrate S and the processing container 2 are set to a predetermined temperature by a temperature adjusting mechanism (not shown).
  • the first high-frequency power P1 having the first frequency ⁇ 1 is supplied from the first high-frequency power source 11 to the lower electrode 9 through the matching unit 10, and the second high-frequency power 12 has the second frequency ⁇ 2.
  • the high frequency power P2 is supplied through the matching unit 10.
  • the first frequency ⁇ 1 is 100 MHz
  • the second frequency ⁇ 2 is 13.56 MHz.
  • each frequency is not fixed to this, in order to control a self-bias voltage, it is preferable to use at least one power supply having a frequency of 40 MHz or more.
  • FIG. 2 is a flowchart for explaining the procedure of each step of the semiconductor device manufacturing method according to the present embodiment.
  • FIG. 3 is a schematic cross-sectional view showing the structure of the substrate in each step of the semiconductor device manufacturing method according to the present embodiment.
  • the manufacturing method of the semiconductor device includes an installation process (step S11), a preliminary etching process (step S12), a first etching process (step S13), and a second etching process (step S13). Step S14) and a third etching step (Step S15).
  • step S11 the installation process of step S11 is performed.
  • step S ⁇ b> 11 the substrate 101 (same as the substrate S shown in FIG. 1) on which the photoresist film 102 having the opening 103 is formed is placed in the processing container 2.
  • FIG. 3A shows the structure of the substrate after step S11.
  • a semiconductor wafer made of single crystal silicon having a diameter of 300 mm is used as the substrate 101.
  • a photoresist film 102 in which an opening 103 for forming a deep hole is patterned by a photolithography process is formed on the surface of the substrate 101.
  • the deep hole one having a circular cross-sectional shape in plan view, for example, its diameter is often about 2 to 20 ⁇ m.
  • the opening 103 also has the same shape in plan view.
  • An antireflection film and a silicon oxide film for protecting the formed semiconductor device may be formed between the photoresist film 102 and the substrate 101 as necessary. However, even in that case, the outermost surface is the photoresist film 102, and the photoresist film 102 becomes a mask against plasma.
  • the opening width dimension W1 described later means the diameter of the circular opening.
  • the semiconductor wafer 101 is etched using the photoresist film 102 as a mask.
  • Etching according to the present embodiment is performed by a plurality of steps (steps S12 to S15).
  • step S12 the substrate 101 is previously plasma etched from the upper surface of the photoresist film 102 to a depth D1 substantially equal to the opening width dimension W1 of the opening 103 to form the hole 104.
  • FIG. 3B shows the structure of the substrate after step S12 is performed.
  • the substrate 101 provided with the photoresist film 102 having the opening 103 shown in FIG. 3A is mixed with a mixed gas composed of SF 6 , O 2 , SiF 4, and HBr (hereinafter referred to as “SF 6 / O 2 / SiF”). 4 / HBr mixed gas ”), and etching is performed under the condition that the self-bias voltage applied to the substrate 101 is kept low.
  • SF 6 is set to 60 sccm
  • O 2 is set to 120 sccm
  • SiF 4 is set to 600 sccm
  • HBr is set to 50 sccm.
  • the pressure in the processing container 2 is 20.1 Pa (equivalent to 150 mTorr).
  • the first high frequency power P1 at the first frequency ⁇ 1 is 1100 W
  • the second high frequency power P2 at the second frequency ⁇ 2 is 50 W.
  • the gas ratio, pressure, and power can be appropriately changed depending on the desired etching shape and etching rate. Etching is performed for 30 to 90 seconds under these conditions. At this time, the substrate temperature is about 10 to 60 ° C. and is lower than the inner wall of the processing container 2.
  • the etching rate obtained under the above conditions is, for example, 5 to 15 ⁇ m / min.
  • the aspect ratio T1 / W1 which is the ratio of the depth dimension T1 of the opening 103 to the opening width dimension W1 of the opening 103 of the photoresist film 102
  • silicon is also formed on the surface of the substrate 101 at the bottom of the opening 103.
  • a thin film made of an oxide is formed.
  • the depth dimension T 1 of the opening 103 is the same as the thickness dimension of the photoresist film 102. If a thin film made of silicon oxide is formed on the surface of the substrate 101 at the bottom of the opening 103, the etching of the substrate 101 may be hindered when the subsequent etching is performed.
  • a step S12 to which a slight etching process is added is performed, and the hole 104 is formed by etching the substrate 101 in advance.
  • This increases the aspect ratio D1 / W1, which is the ratio of the depth dimension D1 of the hole 104 from the upper surface of the photoresist film 102 to the opening width dimension W1 of the opening 103. Therefore, the apparent aspect ratio of the opening 103 can be increased, and a thin film made of silicon oxide formed in step S13 can be prevented from adhering to the bottom of the opening 103.
  • the hole 104 is formed by etching the substrate 101 at least from the upper surface of the photoresist film 102 to a depth D1 substantially equal to the opening width dimension W1 of the opening 103.
  • the aspect ratio D1 / W1 of the depth dimension D1 with respect to the width dimension W1 of the hole 104 can be 1 or more.
  • step S13 the substrate 101 is plasma-etched using the first mixed gas containing at least SiF 4 and O 2 using the photoresist film 102 as a mask. Further, plasma etching is performed using a condition in which the self-bias voltage applied to the substrate 101 is kept low.
  • FIG. 3C shows the structure of the substrate after step S13.
  • step S13 photoresist substrate 101 with a hole 104 by performing a plasma etch to substantially the same depth D1 and the opening width W1 of the opening 103 is formed which film 102 has, SF 6, O 2 and SiF in step S12 4 more become the first mixed gas by using a (hereinafter, referred to as "first gas mixture consisting of SF 6 / O 2 / SiF 4".), plasma etching. Further, plasma etching is performed using a condition in which the self-bias voltage applied to the substrate 101 is kept low. At this time, a thin film 106 made of silicon oxide is formed on the surface of the photoresist film 102.
  • first gas mixture consisting of SF 6 / O 2 / SiF 4
  • the gas flow rate is set to 60 sccm for SF 6 , 120 sccm for O 2 , and 600 sccm for SiF 4 , and the pressure in the processing container 2 is 20.1 Pa (equivalent to 150 mTorr).
  • the self-bias voltage Vdc of the substrate 101 placed on the lower electrode 9 is set to a low bias condition of 50V or less.
  • the first high frequency power P1 of the first frequency ⁇ 1 is set to about 1100 W
  • the second high frequency power P2 of the second frequency ⁇ 2 is set to about 50 W or less.
  • the gas ratio, pressure, and power can be appropriately changed according to the desired etching shape and etching rate.
  • Etching is performed under these conditions for 30 to 120 seconds.
  • the substrate temperature is about 10 to 60 ° C. and is controlled to be lower than the inner wall of the processing container 2.
  • the etching rate obtained under the above conditions is, for example, 3 to 10 ⁇ m / min.
  • the reason why the self-bias voltage Vdc of the substrate 101 is set to 50 V or less is to protect the photoresist film 102 from plasma. If the self-bias voltage Vdc of the substrate 101 is higher than 50V, the photoresist film 102 may be damaged or removed from the plasma. Accordingly, in step S13, the first high-frequency power P1 having the first frequency ⁇ 1 and the second high-frequency power P2 having the second frequency ⁇ 2 are adjusted so that the self-bias voltage of the substrate 101 is 50 V or less.
  • the self-bias voltage Vdc of the substrate 101 can be made larger than 50V.
  • the hole 104 is further etched in the depth direction, and the hole 105 is formed in the substrate 101 through the opening 103.
  • a thin film 106 made of silicon oxide having a thickness of about 100 nm is deposited on the surface of the photoresist film 102.
  • the deposition rate at which the thin film 106 is deposited is, for example, 0.1 to 0.5 ⁇ m / min.
  • step S ⁇ b > 14 following step S ⁇ b > 13, the substrate 101 is plasma etched using a second mixed gas containing at least SF 6 , O 2, and HBr to form deep holes 107 in the substrate 101.
  • FIG. 3D shows the structure of the substrate after step S14.
  • step S14 following the first etching process in step S13, the substrate 101 is placed on the lower electrode 9 in the processing chamber 2 and the first mixed gas composed of SF 6 / O 2 / SiF 4 is further added to HBr. Then, plasma etching is performed using a second mixed gas made of SF 6 / O 2 / SiF 4 / HBr.
  • the gas flow rate is set to 60 sccm for SF 6 , 120 sccm for O 2 , 600 sccm for SiF 4 , 50 sccm for HBr, and the pressure in the processing container 2 is 20.1 Pa (equivalent to 150 mTorr).
  • the first high frequency power P1 of the first frequency ⁇ 1 is 1200 W
  • the second high frequency power P2 of the second frequency ⁇ 2 is 80 W.
  • the gas ratio, pressure, and power can be appropriately changed depending on the desired etching shape and etching rate. For example, etching is performed for 5 minutes under these conditions. At this time, the substrate temperature is about 10 to 60 ° C. and is lower than the inner wall of the processing container 2.
  • the etching rate obtained under the above conditions is, for example, 5 to 15 ⁇ m / min.
  • a deep hole 107 having a depth dimension of about 50 to 100 ⁇ m can be formed in the substrate 101 with the photoresist film 102 remaining.
  • the thin film 106 made of silicon oxide formed on the surface of the photoresist film 102 in step S13 is like a so-called hard mask during the etching using the gas containing HBr performed in step S14. Work nicely. As a result, the photoresist film 102 is protected from plasma, and the deep hole 107 can be formed.
  • a deep hole in the present embodiment corresponds to the “hole” in the present invention.
  • a deep hole means a deep hole having a depth of about several tens to several hundreds of ⁇ m.
  • FIG. 4 is a schematic cross-sectional view showing the structure of the substrate in each step when the step S13 is omitted.
  • FIG. 4A shows the structure of the substrate after step S11, and shows the same structure as FIG. 3A. Further, step S12 is for preventing the thin film made of silicon oxide formed in step S13 from adhering to the bottom of the opening 103. Therefore, the process of step S13 is omitted and the process of step S12 is performed. The process is omitted.
  • FIG. 4B shows the structure of the substrate after step S14 when the steps S12 and S13 are omitted.
  • step S13 and step S12
  • the photoresist film 102 is completely lost by etching.
  • a fine hole 201 is formed on the entire surface of the substrate 101, and the shape of the deep hole 202 becomes thinner toward the bottom. Therefore, if step S13 (and step S12) is omitted, a deep hole having a desired shape cannot be obtained.
  • step S15 plasma etching is performed under a low bias condition using a gas containing fluorocarbon.
  • FIG. 3E shows the structure of the substrate after performing Step S15.
  • step S15 following the second etching process in step S14, plasma etching is performed using a gas containing fluorocarbon, for example, CF 4 gas while the substrate 101 is placed on the lower electrode 9 in the processing container 2.
  • a gas containing fluorocarbon for example, CF 4 gas
  • the flow rate of CF 4 gas is 100 sccm
  • the pressure in the processing container 2 is 20.1 Pa (equivalent to 150 mTorr).
  • the first high frequency power P1 of the first frequency ⁇ 1 is 500 W
  • the second high frequency power P2 of the second frequency ⁇ 2 is 300 W
  • Etching is performed for 1 minute under these conditions.
  • the thin film 106 made of silicon oxide formed on the surface of the photoresist film 102 can be removed.
  • the process for removing the photoresist film 102 in a later process can be simplified.
  • FIG. 5 is a schematic cross-sectional view showing the structure of the substrate in each step when performing the Bosch process.
  • the Bosch process when etching the substrate 301 on which the photoresist film 302 having the opening 303 shown in FIG. 5A is etched, the etching process and the polymerization process are alternately repeated while FIG. A deep hole 306 is formed.
  • the substrate 301 is etched using a mixed gas of SF 6 gas and Ar gas to form a hole 304 as shown in FIG.
  • a polymer 305 is attached to the inner wall of the hole 304 and the surface of the substrate 301 (the surface of the photoresist film 302) using a mixed gas of CHF 3 gas and Ar gas.
  • the selection ratio that is the ratio of the etching rate of the substrate to the etching rate of the photoresist film is about 10 to 20. Therefore, the photoresist film may disappear while the deep hole having a depth of about several tens to several hundred ⁇ m is formed.
  • the first etching step a part of the substrate 101 at the bottom of the opening 103 is plasma-etched using a first mixed gas containing at least SiF 4 and O 2 , A thin film 106 made of silicon oxide is formed on the surface of the photoresist film 102. Then, when the second etching process is performed using the second mixed gas further containing HBr following the first etching process, the thin film made of the thin silicon oxide formed in the first etching process. 106 functions like a so-called hard mask.
  • the photoresist film 102 is protected from the plasma, and the selectivity, which is the ratio of the etching rate of the substrate to the etching rate of the photoresist film, is increased. be able to. Therefore, the photoresist film 102 does not disappear while the deep hole 107 is formed in the substrate 101, and the deep hole 107 having a desired depth can be formed.
  • the thin film 106 made of silicon oxide functions like a hard mask, it is not necessary to repeat the etching process and the polymerization process as in the Bosch process when forming the deep hole 107. . Therefore, the deep hole 107 can be formed with a good shape without generating a scallop which is a problem in the Bosch process.
  • the ratio of the etching process time to the entire process time is approximately 1/2. is there.
  • the first etching process corresponding to the polymerization process of the Bosch process may be performed once.
  • the ratio of the length of time between the first etching step and the second etching step corresponding to the etching step of the Bosch process can be, for example, about 1:10. Therefore, in this embodiment, the ratio of the etching process time to the entire process time can be set to about 10/11, for example, and the ratio of the etching process time to the entire process time can be increased. . That is, holes such as deep holes can be formed in the substrate at a higher etching rate than in the Bosch process.
  • the semiconductor device manufacturing method is performed using a plasma etching apparatus that superimposes a high frequency on the lower electrode.
  • the method is not limited to the plasma etching apparatus, and can be performed using an ICP plasma apparatus or the like. It is.
  • the frequency of the high-frequency power to be supplied be 40 MHz or more.
  • FIG. 6 is a flowchart for explaining the procedure of each step of the semiconductor device manufacturing method according to the present embodiment.
  • FIG. 7 is a cross-sectional view schematically showing the structure of the substrate in each step of the semiconductor device manufacturing method according to the present embodiment.
  • the same reference numerals are given to the portions described above, and the description may be omitted (the same applies to the following embodiments).
  • the semiconductor device manufacturing method according to the present embodiment does not perform the preliminary etching step (step S12) in the first embodiment.
  • the manufacturing method of the semiconductor device includes an installation process (step S21), a first etching process (step S22), a second etching process (step S23), and a third etching. It has a process (Step S24).
  • Step S21 is the same as step S11 in the first embodiment.
  • FIG. 7A shows the structure of the substrate after step S21.
  • the aspect ratio T2 / W2 which is the ratio of the thickness dimension T2 of the photoresist film 102a to the opening width dimension W2 of the opening 103a, is increased to about 1, for example. Therefore, although depending on the opening width dimension W2 of the opening 103a, for example, when the opening width dimension W2 of the opening 103a is equal to the opening width dimension W1 in the first embodiment, the thickness dimension T2 of the photoresist film 102a. Is made larger than the thickness dimension T1 in the first embodiment.
  • step S22 the substrate 101 is plasma-etched using a first mixed gas containing at least SiF 4 and O 2 using the photoresist film 102a as a mask. Further, plasma etching is performed using a condition in which the self-bias voltage applied to the substrate 101 is kept low.
  • FIG. 7B shows the structure of the substrate after step S22.
  • step S ⁇ b > 22 the substrate 101 is etched using a first mixed gas composed of SF 6 / O 2 / SiF 4 under conditions that suppress the self-bias voltage applied to the substrate 101.
  • a thin film 106a made of silicon oxide is formed on the surface of the photoresist film 102a.
  • the gas flow rate is set to 60 sccm for SF 6 , 120 sccm for O 2 , and 600 sccm for SiF 4 , and the pressure in the processing container 2 is 20.1 Pa (equivalent to 150 mTorr).
  • the self-bias voltage Vdc of the substrate 101 placed on the lower electrode 9 is set to a low bias condition of 50V or less.
  • the first high frequency power P1 of the first frequency ⁇ 1 is 1100 W
  • the second high frequency power P2 of the second frequency ⁇ 2 is 50 W.
  • the gas ratio, pressure, and power can be appropriately changed depending on the desired etching shape and etching rate. Etching is performed for 30 to 90 seconds under these conditions. At this time, the substrate temperature is about 10 to 60 ° C. and is controlled to be lower than the inner wall of the processing container 2.
  • the etching rate obtained under the above conditions is, for example, 3 to 10 ⁇ m / min.
  • the reason why the self-bias voltage Vdc of the substrate 101 is set to 50 V or less is to protect the photoresist film 102a from plasma as in the first embodiment.
  • the self-bias voltage Vdc of the substrate 101 can be made higher than 50V.
  • the aspect ratio T2 / W2 which is the ratio of the thickness dimension T2 of the photoresist film 102a to the opening width dimension W2 of the opening 103a, is increased to about 1, for example. This prevents a thin film made of silicon oxide from being formed on the surface of the substrate 101 at the bottom of the opening 103a when the thin film 106a made of silicon oxide is formed on the surface of the photoresist film 102a. it can.
  • the deposition rate of the thin film 106a made of silicon oxide may be increased by adjusting the first high-frequency power P1 having the first frequency ⁇ 1 and the second high-frequency power P2 having the second frequency ⁇ 2.
  • This method can also prevent a thin film made of silicon oxide from being formed on the surface of the substrate 101 at the bottom of the opening 103a.
  • a hole 105a is formed in the substrate 101 through the opening 103a.
  • a thin film 106a made of silicon oxide having a thickness of about 100 nm is deposited on the surface of the photoresist film 102a.
  • the deposition rate at which the thin film 106a is deposited at this time is, for example, 0.1 to 0.5 ⁇ m / min.
  • the thickness of the photoresist film 102a shown in FIG. 7B is the same as the thickness T2 of the photoresist film 102a shown in FIG. 7A. However, depending on the process conditions of step S22, the surface of the photoresist film 102a is etched, and the thickness of the photoresist film 102a shown in FIG. 7B is equal to the thickness of the photoresist film 102a shown in FIG. It may be smaller than T2.
  • Step S23 and step S24 are the same as step S14 and step S15 in the first embodiment, respectively.
  • FIG. 7C and FIG. 7D show the structure of the substrate after performing Step S23 and Step S24, respectively.
  • a deep hole in the present embodiment corresponds to the “hole” in the present invention, as in the first embodiment.
  • a deep hole means a deep hole having a depth of about several tens to several hundreds of ⁇ m.
  • the thin film made of silicon oxide formed in the first etching step functions as a hard mask in the second etching step to be performed subsequently. Therefore, scallops which are a problem in the Bosch process do not occur, and deep holes can be formed with good shapes. Further, the ratio of the etching process time to the total process time can be increased. Therefore, also in the present embodiment, holes such as deep holes can be formed in the substrate with a good shape at a high etching rate and a high selectivity using the photoresist film provided on the substrate as a mask.
  • the preliminary etching step can be omitted by increasing the aspect ratio of the opening of the photoresist film to about 1 or adjusting the process conditions.
  • the process for forming holes, such as a deep hole can be simplified using the photoresist film provided on the board
  • FIG. 8 is a flowchart for explaining the procedure of each step of the semiconductor device manufacturing method according to the present embodiment.
  • FIG. 9 is a cross-sectional view schematically showing the structure of the substrate in each step of the semiconductor device manufacturing method according to the present embodiment.
  • the manufacturing method of the semiconductor device according to the present embodiment performs a photoresist removing process instead of the third etching process (step S15) in the first embodiment.
  • the manufacturing method of the semiconductor device includes an installation process (step S31), a preliminary etching process (step S32), a first etching process (step S33), and a second etching process (step S33).
  • Step S34 a photoresist removing step (Step S35).
  • step S34 is performed from the installation process of step S31.
  • Steps S31 to S34 are the same as steps S11 to S14 in the first embodiment.
  • FIG. 9A to FIG. 9D show the structure of the substrate after performing the steps S31 to S34, respectively.
  • a deep hole in the present embodiment corresponds to the “hole” in the present invention, as in the first embodiment.
  • a deep hole means a deep hole having a depth of about several tens to several hundreds of ⁇ m.
  • step S35 the photoresist removal process of step S35 is performed.
  • step S35 the photoresist film 102 and the thin film 106 made of silicon oxide are removed by, for example, lift-off.
  • FIG. 9E shows the structure of the substrate after step S35 is performed.
  • step S35 steps up to step S34 are performed in the plasma etching apparatus to form the deep hole 107 in the substrate 101, and then the substrate 101 is taken out from the plasma etching apparatus. Then, the photoresist film 102 is dissolved and removed by immersing the substrate 101 in a lift-off solvent such as an organic solvent or by supplying a lift-off solvent to the surface of the substrate 101, and is made of silicon oxide together with the photoresist film 102. The thin film 106 is removed.
  • a lift-off solvent such as an organic solvent or by supplying a lift-off solvent to the surface of the substrate 101, and is made of silicon oxide together with the photoresist film 102.
  • step S35 various methods can be used without being limited to lift-off as long as both the photoresist film 102 and the thin film 106 made of silicon oxide can be removed.
  • the thin film made of silicon oxide formed in the first etching step functions as a hard mask in the second etching step to be performed subsequently. Therefore, scallops which are a problem in the Bosch process do not occur, and deep holes can be formed with good shapes. Further, the ratio of the etching process time to the total process time can be increased. Therefore, also in the present embodiment, holes such as deep holes can be formed in the substrate with a good shape at a high etching rate and a high selectivity using the photoresist film provided on the substrate as a mask.
  • the third etching step can be omitted by removing both the photoresist film and the silicon oxide by lift-off or the like.
  • the process for forming holes, such as a deep hole can be simplified using the photoresist film provided on the board
  • FIG. 10 is a flowchart for explaining the procedure of each step of the semiconductor device manufacturing method according to the present embodiment.
  • FIG. 11 is a cross-sectional view schematically showing the structure of the substrate in each step of the semiconductor device manufacturing method according to this embodiment.
  • the manufacturing method of the semiconductor device according to the present embodiment performs a photoresist removing process instead of the third etching process (step S24) in the second embodiment.
  • the manufacturing method of the semiconductor device of this embodiment includes an installation process (step S41), a first etching process (step S42), a second etching process (step S43), and a photoresist removing process ( Step S44).
  • step S43 the second etching process of step S43 is performed from the installation process of step S41.
  • Steps S41 to S43 are the same as steps S21 to S23 in the second embodiment.
  • FIG. 11A to FIG. 11C show the structure of the substrate after performing steps S41 to S43, respectively.
  • the aspect ratio T2 / W2 which is the ratio of the thickness dimension T2 of the photoresist film 102a to the opening width dimension W2 of the opening 103a, is increased to, for example, about 1. . Therefore, although depending on the opening width dimension W2 of the opening 103a, for example, when the opening width dimension W2 of the opening 103a is equal to the opening width dimension W1 in the first embodiment, the thickness dimension T2 of the photoresist film 102a. Is made larger than the thickness dimension T1 in the first embodiment.
  • a deep hole in the present embodiment corresponds to the “hole” in the present invention, as in the first embodiment.
  • a deep hole means a deep hole having a depth of about several tens to several hundreds of ⁇ m.
  • step S44 is the same as step S35 in the third embodiment.
  • FIG. 11D shows the structure of the substrate after step S44 is performed.
  • the thin film made of silicon oxide formed in the first etching step functions as a hard mask in the second etching step to be performed subsequently. Therefore, scallops which are a problem in the Bosch process do not occur, and deep holes can be formed with good shapes. Further, the ratio of the etching process time to the total process time can be increased. Therefore, also in the present embodiment, holes such as deep holes can be formed in the substrate with a good shape at a high etching rate and a high selectivity using the photoresist film provided on the substrate as a mask.
  • the preliminary etching step and the third etching step can be omitted.
  • the process for forming holes, such as a deep hole can be further simplified using the photoresist film provided on the substrate as a mask.
  • the present invention is not only applied when a semiconductor device is manufactured on a silicon substrate, but can also be applied when manufacturing a semiconductor device on various substrates such as an SOI (Silicon On On Insulator) substrate. .
  • SOI Silicon On On Insulator
  • a method for manufacturing a semiconductor device in which a deep hole having a depth of about several tens to several hundred ⁇ m is formed by etching a substrate has been described.
  • the hole formed by etching the substrate is not limited to a deep hole. Therefore, the present invention can be applied to the case where a shallower hole having a depth of about several tens of ⁇ m or less is formed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 基板上に形成したフォトレジスト膜をマスクとして、基板に深穴を形成するための半導体装置の製造方法であって、開口部を有するフォトレジスト膜が形成された基板をエッチングチャンバ内に設置する設置工程と、フォトレジスト膜をマスクとして、少なくともSiFとOとを含む第1の混合ガスを用いて、エッチングチャンバ内に設置された基板をプラズマエッチングする第1のエッチング工程と、第1のエッチング工程に続いて、少なくともSFとOとHBrとを含む第2の混合ガスを用いて基板をプラズマエッチングし、基板に穴を形成する第2のエッチング工程とを有する。

Description

半導体装置の製造方法
 本発明は、プラズマエッチングにより基板に深穴を形成する半導体装置の製造方法に関する。
 近年、半導体装置の集積化の手法の一つとして、三次元実装技術が注目されている。三次元実装技術の中に、集積回路を作りこんだ基板に深穴を形成し、上下方向に積層して深穴内に銅などで配線を作成し、立体的に基板を配置することで集積度を上げる方法がある。この深穴を形成する方法では、いかに早く、安い費用で深穴を形成することができるかどうかが、重要である。
 基板へ深穴を形成する方法として、集積回路を作りこんだ基板上に、深穴を作成するための開口部を備えたレジストパターンを作成し、レジストパターン(開口部を有するフォトレジスト膜)をマスクとしてプラズマによるエッチングを行う方法が知られている。レジストパターンは、フォトレジストを塗布してフォトレジスト膜を形成し、露光および現像の工程を経て、形成される。開口部を有するフォトレジスト膜が形成された基板をエッチングする場合、穴を形成するエッチング工程と、ポリマーを付着させる重合工程とを交互に繰り返しながら深穴を形成する、いわゆる「ボッシュプロセス」と呼ばれる手法が特に知られている(例えば、特許文献1参照)。エッチング工程では、SFガスとArガスの混合ガスを用いて穴を形成する。重合工程では、CHFガスとArガスの混合ガスを用いて穴の内壁及び基板表面にポリマーを付着させる。
特開2007-129260号公報
 しかしながら、ボッシュプロセスでは、エッチング工程と重合工程とを交互に繰り返すことによって、深穴の側壁にスキャロップと呼ばれる微細な凹凸が形成されてしまう。このような微細な凹凸が形成されると、その後の工程で深穴の側壁に絶縁膜を形成する場合に、側壁を被覆する被覆率を低下させ、被覆性を劣化させるおそれがある。
 この問題を避けるために別のプロセスの適用が検討される。しかしながら、シリコンをエッチングするプロセスでは、フォトレジスト膜との選択比を十分高く確保することが難しい。フォトレジスト膜との選択比を十分高くできないときは、数十~数百μm程度の深さの深穴を形成している間に、フォトレジスト膜が消失してしまうことがある。フォトレジスト膜が消失してしまうと、開口部以外の基板表面がエッチングされて基板表面の表面荒れが発生するという問題がある。また、深穴の底部側ほど深穴の穴幅が細くなってしまい、形状良く基板に深穴を形成することができないという問題がある。
 また、ボッシュプロセスでは、略同程度の時間長を有するエッチング工程及び重合工程を交互に繰り返して深穴を形成する。そのため、全体のプロセス時間に占める約半分の時間が重合工程に費やされ、全プロセス時間での平均的なエッチング速度が高くないという問題がある。
 本発明は上記の点に鑑みてなされたものであり、基板上に形成したフォトレジスト膜をマスクとして、高いエッチング速度及び高い選択比で形状良く基板に深穴等の穴を形成することができる半導体装置の製造方法を提供することを目的とする。
 上記の課題を解決するため、本発明の半導体装置の製造方法は、基板上に開口部を有するフォトレジスト膜が形成された前記基板をエッチングチャンバ内に設置する設置工程と、前記フォトレジスト膜をマスクとして、少なくともSiFとOとを含む第1の混合ガスを用いて、前記エッチングチャンバ内に設置された前記基板をプラズマエッチングする第1のエッチング工程と、前記第1のエッチング工程に続いて、少なくともSFとOとHBrとを含む第2の混合ガスを用いて前記基板をプラズマエッチングし、前記基板に穴を形成する第2のエッチング工程とを有することを特徴とする。
 本発明の半導体装置の製造方法によれば、基板上に形成したフォトレジスト膜をマスクとして、高いエッチング速度及び高い選択比で形状良く基板に深穴等の穴を形成することができる。
プラズマエッチング装置の構成を示す概略断面図である。 第1の実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。 第1の実施形態に係る半導体装置の製造方法の各工程における基板の構造を示す概略断面図である。 ステップS13の工程を省略したときの、各工程における基板の構造を示す概略断面図である。 ボッシュプロセスを行う際の、各工程における基板の構造を示す概略断面図である。 第2の実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。 第2の実施形態に係る半導体装置の製造方法の各工程における基板の構造を模式的に示す断面図である。 第3の実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。 第3の実施形態に係る半導体装置の製造方法の各工程における基板の構造を模式的に示す断面図である。 第4の実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。 第4の実施形態に係る半導体装置の製造方法の各工程における基板の構造を模式的に示す断面図である。
発明を実行するための形態
 以下、本発明の実施形態の半導体装置の製造方法について図面を参照しながら説明する。
 最初に、図1から図5を参照し、第1の実施形態に係る半導体装置の製造方法について説明する。
 始めに図1を参照し、本実施形態に係る半導体装置の製造方法を行うためのプラズマエッチング装置について説明する。図1は、プラズマエッチング装置の構成を示す概略断面図である。
 図1に示すように、プラズマエッチング装置1は、処理容器2を有する。処理容器2は、例えば表面に陽極酸化処理を施して酸化アルミニウム膜が形成されたアルミニウムからなるとともに、接地されている。処理容器2の側面には基板を搬出入するための搬送口3が設けられている。処理容器2の内部は、搬送口3の外に設けられたゲートバルブ4によって気密に保持されている。処理容器2の底面には排気口5が設けられており、排気口5には外部の真空ポンプ6が接続されている。真空ポンプ6は、排気口5を介し、処理容器2の内部を真空排気する。処理容器2の上面はガスを供給するためのシャワープレートを兼ねた上部電極7になっている。また、処理容器2の上面には供給口7aが設けられており、供給口7aにはガス供給源8が接続されている。ガス供給源8は、供給口7aを介し、プラズマエッチングに必要なガス等を処理容器2内に供給する。
 なお、処理容器2は、本発明におけるエッチングチャンバに相当する。
 処理容器2内には、被処理体である基板S、例えば半導体ウェハを載置する、下部電極9が配置されている。下部電極9には、整合器10を介して第1高周波電源11と第2高周波電源12とが接続されている。下部電極9の載置面の下側内部には、図示しない高圧直流電源に接続された図示しない静電チャック電極が設けられている。石英で構成されるフォーカスリング13は、基板Sと下部電極9を囲うように配置されている。下部電極9の下面には、セラミックス等よりなる絶縁部材14が配置されている。下部電極9は、保持部材15とベローズ16によって支えられており、図示しない駆動部によって上下動可能になっている。上下動可能なベローズ16は、ベローズ16の外側に保持部材15から下方に延びるカバー部材17と処理容器2の底面から上方向に延びるカバー部材18とによって、処理容器2内のプラズマ雰囲気から遮断されている。
 なお、下部電極9は、本発明における載置台に含まれるものとする。また、基板Sを下部電極9に載置することは、本発明における基板をエッチングチャンバに設置することに相当する。
 次に、図1を参照しながら、上記プラズマエッチング装置の動作について説明する。
 後述する所定の開口部を有するフォトレジスト膜をマスクとして備えた基板Sを、搬送口3よりプラズマエッチング装置1の処理容器2内に搬入し、下部電極9上に載置する。基板Sを載置した後、図示しない高圧直流電源のスイッチを入れ、基板Sを下部電極9上に静電力により固定する。その状態で排気口5から真空ポンプ6により処理容器2内を排気した後、ガス供給源8からシャワープレート7を介して処理ガスを処理容器2内に導入する。
 上記処理ガスとしては、SFガス、Oガスに、SiFガスやHBrガスを加えた混合ガスを使用する。処理ガスの流量は、例えばSFガスが50~150sccm、Oガスが60~200sccm、SiFガスが200~1000sccm、HBrガスは20~200sccmの範囲で組み合わせることができる。
 上記処理ガスを所定の流量に設定し、図示しない温度調節機構により基板Sや処理容器2内を所定の温度に設定した状態で、処理容器2内の圧力を所定の値に設定する。また、下部電極9には、第1高周波電源11から第1周波数ω1を有する第1高周波電力P1を整合器10を介して供給するとともに、第2高周波電源12から第2周波数ω2を有する第2高周波電力P2を整合器10を介して供給する。
 第1周波数ω1は100MHzとし、第2周波数ω2は13.56MHzとする。ここで、それぞれの周波数はこれに固定されるものではないが、自己バイアス電圧を制御するために、40MHz以上の周波数の電源を少なくとも1つ使用することが好ましい。
 次に、図2及び図3を参照し、本実施形態に係る半導体装置の製造方法について説明する。図2は、本実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。図3は、本実施形態に係る半導体装置の製造方法の各工程における基板の構造を示す概略断面図である。
 本実施形態に係る半導体装置の製造方法は、図2に示すように、設置工程(ステップS11)、予備エッチング工程(ステップS12)、第1のエッチング工程(ステップS13)、第2のエッチング工程(ステップS14)及び第3のエッチング工程(ステップS15)を有する。
 始めに、ステップS11の設置工程を行う。ステップS11では、開口部103を有するフォトレジスト膜102が形成された基板101(図1に示す基板Sと同じ)を処理容器2内に設置する。図3(a)は、ステップS11を行った後の基板の構造を示す。
 図3(a)に示すように、基板101として、例えば直径が300mmの単結晶シリコンからなる半導体ウェハを用いる。また、基板101の表面に、深穴を形成するための開口部103がフォトリソグラフィ工程によりパターニングされたフォトレジスト膜102を形成しておく。深穴として、平面視で円形の断面形状を有するもの、例えばその直径は2~20μm程度であることが多い。またそのときは、開口部103も平面視で同一の形状を有する。フォトレジスト膜102と基板101との間には、必要に応じて反射防止膜や、形成済みの半導体装置を保護するためのシリコン酸化膜が形成されていることがある。しかし、その場合でも、最表面はフォトレジスト膜102となり、フォトレジスト膜102がプラズマに対するマスクとなる。
 なお、前述したように開口部が平面視において円形の断面形状を有する場合には、後述する開口幅寸法W1は、円形の開口部の直径を意味するものとする。
 次に、フォトレジスト膜102をマスクに用い、半導体ウェハ101のエッチングを行う。本実施形態に係るエッチングは、複数の工程(ステップS12~ステップS15)により行われる。
 まず、ステップS12の予備エッチング工程を行う。ステップS12では、予めフォトレジスト膜102の上面から開口部103の開口幅寸法W1と略等しい深さD1まで基板101をプラズマエッチングして、穴104を形成する。図3(b)は、ステップS12を行った後の基板の構造を示す。
 図3(a)に示す、開口部103を有するフォトレジスト膜102を備えた基板101を、SFとOとSiFとHBrとよりなる混合ガス(以下、「SF/O/SiF/HBrよりなる混合ガス」と表記する。)を用いて、基板101にかかる自己バイアス電圧を低く抑えた条件を用いてエッチングする。ガスの流量を、たとえばSFは60sccm、Oは120sccm、SiFは600sccm、HBrは50sccmとする。処理容器2内の圧力は20.1Pa(150mTorr相当)とする。第1周波数ω1の第1高周波電力P1は1100Wとし、第2周波数ω2の第2高周波電力P2は50Wとする。ガスの比率、圧力、パワーは所望するエッチング形状・エッチングレートによって適宜変更することが出来る。この条件で30~90秒間エッチングを行う。このとき、基板温度は、10~60℃程度であって、処理容器2の内壁よりも低温になるようにする。また、上記の条件により得られるエッチングレートは、例えば5~15μm/minである。
 フォトレジスト膜102の開口部103の開口幅寸法W1に対する開口部103の深さ寸法T1の比率であるアスペクト比T1/W1が小さい場合には、開口部103の底の基板101の表面にもシリコン酸化物よりなる薄い膜が形成されてしまう。ここで、開口部103の深さ寸法T1は、フォトレジスト膜102の厚さ寸法と同じである。開口部103の底の基板101の表面にシリコン酸化物よりなる薄い膜が形成されると、この後のエッチングを行った際に、基板101のエッチングが阻害されてしまうことがある。
 一方、本実施形態では、最初に若干のエッチング工程を加えるステップS12を行って、予め基板101をエッチングして穴104を形成しておく。これにより、開口部103の開口幅寸法W1に対する穴104のフォトレジスト膜102上面からの深さ寸法D1の比率であるアスペクト比D1/W1が大きくなる。従って、開口部103の見かけのアスペクト比を大きくすることができ、ステップS13で形成されるシリコン酸化物よりなる薄い膜が開口部103の底部へ付着することを防ぐことができる。
 具体的には、少なくともフォトレジスト膜102上面から開口部103の開口幅寸法W1と略等しい深さD1まで基板101をエッチングして、穴104を形成する。穴104の幅寸法W1(開口部103の開口幅寸法に略等しいためW1とする)に対する深さ寸法D1のアスペクト比D1/W1は、1以上とすることができる。
 次に、ステップS13の第1のエッチング工程を行う。ステップS13では、フォトレジスト膜102をマスクとして、少なくともSiFとOとを含む第1の混合ガスを用いて、基板101をプラズマエッチングする。また、基板101にかかる自己バイアス電圧を低く抑えた条件を用いてプラズマエッチングする。図3(c)は、ステップS13を行った後の基板の構造を示す。
 ステップS13では、ステップS12でフォトレジスト膜102が有する開口部103の開口幅寸法W1と略等しい深さD1までプラズマエッチングを行って穴104が形成された基板101を、SFとOとSiFとよりなる第1の混合ガス(以下、「SF/O/SiFよりなる第1の混合ガス」と表記する。)を用いて、プラズマエッチングする。また、基板101にかかる自己バイアス電圧を低く抑えた条件を用いてプラズマエッチングする。また、このとき、フォトレジスト膜102の表面にシリコン酸化物よりなる薄い膜106が形成される。ガスの流量を、SFは60sccm、Oは120sccm、SiFは600sccmとし、処理容器2内の圧力は20.1Pa(150mTorr相当)とする。また、下部電極9に載置された基板101の自己バイアス電圧Vdcが50V以下の低バイアス条件になるようにして行う。そのような低バイアス条件にするために、たとえば第1周波数ω1の第1高周波電力P1は1100W程度とし、第2周波数ω2の第2高周波電力P2は50W以下程度とする。本ステップもガスの比率、圧力、パワーは所望するエッチング形状・エッチングレートによって適宜変更することが出来る。この条件で30~120秒間エッチングを行う。このとき、基板温度は、10~60℃程度であって、処理容器2の内壁よりも低温になるように制御する。また、上記の条件により得られるエッチングレートは、例えば3~10μm/minである。
 ここで、基板101の自己バイアス電圧Vdcを50V以下にするのは、フォトレジスト膜102をプラズマから保護するためである。基板101の自己バイアス電圧Vdcが50Vよりも大きくなると、フォトレジスト膜102がプラズマからダメージを受けたり、除去されてしまう場合がある。従って、ステップS13では、基板101の自己バイアス電圧が50V以下になるように、第1周波数ω1の第1高周波電力P1及び第2周波数ω2の第2高周波電力P2を調整する。
 あるいは、基板温度など他のプロセス条件によってフォトレジスト膜102をプラズマから保護することができるのであれば、基板101の自己バイアス電圧Vdcを50Vより大きくすることもできる。
 この結果、図3(c)に示すように、穴104が更に深さ方向にエッチングされ、開口部103を介して基板101に穴105が形成される。それとともに、フォトレジスト膜102の表面には約100nm程度の厚さのシリコン酸化物よりなる薄い膜106が堆積される。薄い膜106が堆積される堆積レートは、例えば0.1~0.5μm/minである。
 次に、ステップS14の第2のエッチング工程を行う。ステップS14では、ステップS13に続いて、少なくともSFとOとHBrとを含む第2の混合ガスを用いて基板101をプラズマエッチングし、基板101に深穴107を形成する。図3(d)は、ステップS14を行った後の基板の構造を示す。
 ステップS14では、ステップS13の第1のエッチング工程に引き続き、基板101を処理容器2内で下部電極9に載置したまま、SF/O/SiFよりなる第1の混合ガスに更にHBrを加え、SF/O/SiF/HBrよりなる第2の混合ガスを用いてプラズマエッチングする。ガスの流量を、SFは60sccm、Oは120sccm、SiFは600sccm、HBrは50sccmとし、処理容器2内の圧力は20.1Pa(150mTorr相当)とする。第1周波数ω1の第1高周波電力P1は1200Wとし、第2周波数ω2の第2高周波電力P2は80Wとする。本ステップも同様にガスの比率、圧力、パワーは所望するエッチング形状・エッチングレートによって適宜変更することが出来る。この条件でたとえば5分間エッチングを行う。このとき、基板温度は、10~60℃程度であって、処理容器2の内壁よりも低温になるようにする。また、上記の条件により得られるエッチングレートは、例えば5~15μm/minである。
 この結果、図3(d)に示すように、フォトレジスト膜102を残したまま、基板101に深さ寸法50~100μm程度の深穴107を形成することができる。後述するように、ステップS13でフォトレジスト膜102の表面に形成されたシリコン酸化物よりなる薄い膜106が、ステップS14で行うHBrを含んだガスを用いたエッチングの際に、いわゆるハードマスクのような働きをする。その結果、フォトレジスト膜102がプラズマから保護され、深穴107を形成することができる。
 なお、本実施形態における「深穴」は、本発明における「穴」に相当する。また、深穴とは、数十~数百μm程度の深さの深穴を意味する。
 ここで、図4を参照し、ステップS13の工程を省略すると、形状よく深穴を形成することができないことを説明する。図4は、ステップS13の工程を省略したときの、各工程における基板の構造を示す概略断面図である。
 図4(a)は、ステップS11を行った後の基板の構造を示すものであり、図3(a)と同様の構造を示している。また、ステップS12は、ステップS13において形成されるシリコン酸化物よりなる薄い膜が開口部103の底部へ付着することを防ぐためのものであるから、ステップS13の工程を省略するとともに、ステップS12の工程を省略する。
 図4(b)は、ステップS12及びステップS13の工程を省略したときの、ステップS14を行った後の基板の構造を示す。図4(b)に示すように、ステップS13(及びステップS12)を省略すると、フォトレジスト膜102はエッチングにより全て消失してしまう。その結果、基板101の表面全体に細かい穴201が形成されてしまい、深穴202の形状も底部に向かうほど細くなってしまう。よって、ステップS13(及びステップS12)を省略すると所望の形状の深穴を得ることができない。
 上記したようにステップS14までの工程を行った後、ステップS15の第3のエッチング工程を行う。ステップS15では、フルオロカーボンを含むガスを用いて、低バイアス条件でプラズマエッチングを行う。図3(e)は、ステップS15を行った後の基板の構造を示す。
 ステップS15では、ステップS14の第2のエッチング工程に引き続き、基板101を処理容器2内の下部電極9に載置したまま、フルオロカーボンを含むガス、例えばCFガスを用いてプラズマエッチングする。CFガスの流量は100sccmとし、処理容器2内の圧力は20.1Pa(150mTorr相当)とする。第1周波数ω1の第1高周波電力P1は500Wとし、第2周波数ω2の第2高周波電力P2は300Wなどとする。この条件で1分間エッチングを行う。
 この結果、図3(e)に示すように、フォトレジスト膜102表面に形成されたシリコン酸化物よりなる薄い膜106を除去することができる。ステップS15の工程を追加することにより、更に後の工程でフォトレジスト膜102を除去する際の工程を簡略化することが出来る。
 次に、図5を参照し、本実施形態に係る半導体装置の製造方法が、基板上に設けたフォトレジスト膜をマスクとして高いエッチング速度及び高い選択比で形状良く基板に深穴を形成することができることについて、ボッシュプロセスと比較しながら説明する。図5は、ボッシュプロセスを行う際の、各工程における基板の構造を示す概略断面図である。
 ボッシュプロセスでは、図5(a)に示す、開口部303を有するフォトレジスト膜302が形成された基板301をエッチングする場合、エッチング工程と、重合工程を、交互に繰り返しながら、図5(d)のような深穴306を形成する。エッチング工程では、SFガスとArガスの混合ガスを用いて基板301をエッチングし、図5(b)に示すように穴304を形成する。重合工程では、図5(c)に示すように、CHFガスとArガスの混合ガスを用いて穴304の内壁及び基板301の表面(フォトレジスト膜302の表面)にポリマー305を付着させる。
 しかしながら、ボッシュプロセスでは、エッチング工程と重合工程とを繰り返すことによって、図5(d)に示すように、深穴306の側壁に、スキャロップと呼ばれる微細な凹凸が形成されてしまう。この微細な凹凸が形成されると、その後の工程で深穴306の側壁に絶縁膜を形成する場合に、側壁を被覆する被覆率を低下させ、被覆性を悪くしてしまうおそれがある。
 また、ボッシュプロセス以外のプロセスにおいて、フォトレジスト膜をマスクとして基板をエッチングする場合、フォトレジスト膜のエッチング速度に対する基板のエッチング速度の比である選択比は、10~20程度である。従って、数十~数百μm程度の深さの深穴を形成している間に、フォトレジスト膜が消失してしまうことがある。
 一方、本実施形態では、第1のエッチング工程において、少なくとSiFとOとを含む第1の混合ガスを用いて、開口部103の底の基板101の一部をプラズマエッチングするとともに、フォトレジスト膜102の表面にシリコン酸化物よりなる薄い膜106を形成する。そして、第1のエッチング工程に引続いて更にHBrを含む第2の混合ガスを用いて第2のエッチング工程を行う際に、第1のエッチング工程で形成された薄いシリコン酸化物よりなる薄い膜106が、いわゆるハードマスクのような働きをする。従って、HBrを含んだ第2の混合ガスを用いてプラズマエッチングするときも、フォトレジスト膜102はプラズマから保護され、フォトレジスト膜のエッチング速度に対する基板のエッチング速度の比である選択比を高くすることができる。よって、基板101内に深穴107を形成している間にフォトレジスト膜102が消失せず、所望の深さの深穴107を形成することができる。
 また、本実施形態では、シリコン酸化物よりなる薄い膜106がハードマスクのような働きをするため、深穴107を形成する際に、ボッシュプロセスのようにエッチング工程と重合工程を繰り返す必要がない。従って、ボッシュプロセスで問題となっているスキャロップが発生することがなく、深穴107を形状良く形成することができる。
 また、ボッシュプロセスでは、略同程度の時間長を有するエッチング工程及び重合工程を交互に繰り返して深穴を形成するため、全体のプロセス時間に占めるエッチング工程の時間の比率は、略1/2である。一方、本実施形態では、ボッシュプロセスの重合工程に相当する第1のエッチング工程を、1回行えばよい。また、第1のエッチング工程と、ボッシュプロセスのエッチング工程に相当する第2のエッチング工程との時間の長さの比率は、例えば1:10程度とすることができる。従って、本実施形態では、全体のプロセス時間に占めるエッチング工程の時間の比率は、例えば10/11程度とすることができ、全体のプロセス時間に占めるエッチング工程の時間の比率を増大させることができる。すなわち、ボッシュプロセスに比べ、高いエッチング速度で基板に深穴等の穴を形成することができる。
 更に、本実施形態では、ボッシュプロセスで用いるCHFガス等のCF系(フルオロカーボン系)ガスを堆積させる重合工程を有していない。従って、深穴の側壁に異物等が付着して半導体装置の特性が劣化することを防止できる。
 なお、本実施形態では、下部電極に高周波を重畳する方式のプラズマエッチング装置を用いて半導体装置の製造方法を行うが、プラズマエッチング装置に限定されず、ICPプラズマ装置等を用いて行うことが可能である。ただし、低バイアスによるプラズマ処理が行えることが必要であるため、下部電極に高周波電力を供給可能な構成の装置の場合には、供給する高周波電力の周波数を40MHz以上にすることが好ましい。
 次に、図6及び図7を参照し、第2の実施形態に係る半導体装置の製造方法について説明する。
 図6は、本実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。図7は、本実施形態に係る半導体装置の製造方法の各工程における基板の構造を模式的に示す断面図である。なお、以下の文中では、先に説明した部分には同一の符号を付し、説明を省略する場合がある(以下の実施形態についても同様)。
 本実施形態に係る半導体装置の製造方法は、第1の実施形態における予備エッチング工程(ステップS12)を行わない。
 本実施形態に係る半導体装置の製造方法は、図6に示すように、設置工程(ステップS21)、第1のエッチング工程(ステップS22)、第2のエッチング工程(ステップS23)及び第3のエッチング工程(ステップS24)を有する。
 始めに、ステップS21の設置工程を行う。ステップS21は、第1の実施形態におけるステップS11と同様である。図7(a)は、ステップS21を行った後の基板の構造を示す。
 ただし、本実施形態では、後述するように、開口部103aの開口幅寸法W2に対するフォトレジスト膜102aの厚さ寸法T2の比率であるアスペクト比T2/W2を例えば1程度まで大きくする。従って、開口部103aの開口幅寸法W2にもよるが、例えば開口部103aの開口幅寸法W2が第1の実施形態における開口幅寸法W1と等しい場合には、フォトレジスト膜102aの厚さ寸法T2を第1の実施形態における厚さ寸法T1よりも大きくする。
 次に、ステップS22の第1のエッチング工程を行う。ステップS22では、フォトレジスト膜102aをマスクとして、少なくともSiFとOとを含む第1の混合ガスを用いて、基板101をプラズマエッチングする。また、基板101にかかる自己バイアス電圧を低く抑えた条件を用いてプラズマエッチングする。図7(b)は、ステップS22を行った後の基板の構造を示す。
 ステップS22では、基板101を、SF/O/SiFよりなる第1の混合ガスを用いて、基板101にかかる自己バイアス電圧を低く抑えた条件を用いてエッチングする。また、同時に、フォトレジスト膜102aの表面にシリコン酸化物よりなる薄い膜106aを形成する。ガスの流量を、SFは60sccm、Oは120sccm、SiFは600sccmとし、処理容器2内の圧力は20.1Pa(150mTorr相当)とする。また、下部電極9に載置された基板101の自己バイアス電圧Vdcが50V以下の低バイアス条件になるようにして行う。そのような低バイアス条件にするために、第1周波数ω1の第1高周波電力P1は1100Wとし、第2周波数ω2の第2高周波電力P2は50Wとする。ガスの比率、圧力、パワーは所望するエッチング形状・エッチングレートによって適宜変更することが出来る。この条件で30~90秒間エッチングを行う。このとき、基板温度は、10~60℃程度であって、処理容器2の内壁よりも低温になるように制御する。また、上記の条件により得られるエッチングレートは、例えば3~10μm/minである。
 ここで、基板101の自己バイアス電圧Vdcを50V以下にするのは、第1の実施形態と同様に、フォトレジスト膜102aをプラズマから保護するためである。あるいは、基板温度など他のプロセス条件によってフォトレジスト膜102aをプラズマから保護することができるのであれば、基板101の自己バイアス電圧Vdcを50Vより大きくすることもできる。
 また、本実施形態では、予め予備エッチング工程を行っていないため、ステップS22を行う前に基板101に穴は形成されていない。しかしながら、開口部103aの開口幅寸法W2に対するフォトレジスト膜102aの厚さ寸法T2の比率であるアスペクト比T2/W2を例えば1程度まで大きくしている。これにより、フォトレジスト膜102aの表面にシリコン酸化物よりなる薄い膜106aを形成する際に、開口部103aの底の基板101の表面にシリコン酸化物よりなる薄い膜が形成されてしまうことを防止できる。
 あるいは、第1周波数ω1の第1高周波電力P1及び第2周波数ω2の第2高周波電力P2を調整し、シリコン酸化物よりなる薄い膜106aの堆積速度を大きくしてもよい。この方法によっても、開口部103aの底の基板101の表面にシリコン酸化物よりなる薄い膜が形成されることを防止できる。
 この結果、図7(b)に示すように、開口部103aを介して基板101に穴105aが形成される。それとともに、フォトレジスト膜102aの表面には約100nm程度の厚さのシリコン酸化物よりなる薄い膜106aが堆積される。このときの薄い膜106aが堆積される堆積レートは、例えば0.1~0.5μm/minである。
 なお、図7(b)に示すフォトレジスト膜102aの厚さは、図7(a)に示すフォトレジスト膜102aの厚さT2と同じに記載されている。しかしながら、ステップS22のプロセス条件によっては、フォトレジスト膜102aの表面がエッチングされ、図7(b)に示すフォトレジスト膜102aの厚さが、図7(a)に示すフォトレジスト膜102aの厚さT2よりも小さくなることもある。
 その後、ステップS23の第2のエッチング工程及びステップS24の第3のエッチング工程を行う。ステップS23及びステップS24は、それぞれ第1の実施形態におけるステップS14及びステップS15と同様である。図7(c)及び図7(d)は、それぞれステップS23及びステップS24を行った後の基板の構造を示す。
 なお、本実施形態における「深穴」も、第1の実施形態と同様に、本発明における「穴」に相当する。また、深穴とは、数十~数百μm程度の深さの深穴を意味する。
 本実施形態でも、第1のエッチング工程で形成されたシリコン酸化物よりなる薄い膜が、引き続いて行う第2のエッチング工程において、ハードマスクのような働きをする。従って、ボッシュプロセスで問題となっているスキャロップが発生することがなく、深穴を形状良く形成することができる。また全体のプロセス時間に占めるエッチング工程の時間の比率を増大させることができる。従って、本実施形態でも、基板上に設けたフォトレジスト膜をマスクとして高いエッチング速度及び高い選択比で形状良く基板に深穴等の穴を形成することができる。
 加えて、本実施形態では、フォトレジスト膜の開口部のアスペクト比を1程度に大きくするか、プロセス条件を調整することにより、予備エッチング工程を省略することができる。これにより、基板上に設けたフォトレジスト膜をマスクとして深穴等の穴を形成するための工程を簡略化することができる。
 次に、図8及び図9を参照し、第3の実施形態に係る半導体装置の製造方法について説明する。
 図8は、本実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。図9は、本実施形態に係る半導体装置の製造方法の各工程における基板の構造を模式的に示す断面図である。
 本実施形態に係る半導体装置の製造方法は、第1の実施形態における第3のエッチング工程(ステップS15)に代え、フォトレジスト除去工程を行う。
 本実施形態に係る半導体装置の製造方法は、図8に示すように、設置工程(ステップS31)、予備エッチング工程(ステップS32)、第1のエッチング工程(ステップS33)、第2のエッチング工程(ステップS34)及びフォトレジスト除去工程(ステップS35)を有する。
 始めに、ステップS31の設置工程からステップS34の第2のエッチング工程を行う。ステップS31からステップS34の各ステップは、第1の実施形態におけるステップS11からステップS14の各ステップと同様である。図9(a)から図9(d)は、それぞれステップS31からステップS34の各ステップを行った後の基板の構造を示す。
 なお、本実施形態における「深穴」も、第1の実施形態と同様に、本発明における「穴」に相当する。また、深穴とは、数十~数百μm程度の深さの深穴を意味する。
 本実施形態では、ステップS34までを行った後、ステップS35のフォトレジスト除去工程を行う。ステップS35では、例えばリフトオフにより、フォトレジスト膜102及びシリコン酸化物よりなる薄い膜106を除去する。図9(e)は、ステップS35を行った後の基板の構造を示す。
 ステップS35では、プラズマエッチング装置内でステップS34までを行って基板101に深穴107を形成した後、基板101をプラズマエッチング装置から取り出す。そして、有機溶剤等のリフトオフ溶剤中に基板101を浸漬するか、あるいはリフトオフ溶剤を基板101の表面に供給することにより、フォトレジスト膜102を溶解除去し、フォトレジスト膜102とともにシリコン酸化物よりなる薄い膜106を除去する。
 また、ステップS35では、フォトレジスト膜102とシリコン酸化物よりなる薄い膜106とをともに除去することができるのであれば、リフトオフに限られず、各種の方法を用いることができる。
 本実施形態でも、第1のエッチング工程で形成されたシリコン酸化物よりなる薄い膜が、引き続いて行う第2のエッチング工程において、ハードマスクのような働きをする。従って、ボッシュプロセスで問題となっているスキャロップが発生することがなく、深穴を形状良く形成することができる。また全体のプロセス時間に占めるエッチング工程の時間の比率を増大させることができる。従って、本実施形態でも、基板上に設けたフォトレジスト膜をマスクとして高いエッチング速度及び高い選択比で形状良く基板に深穴等の穴を形成することができる。
 加えて、本実施形態では、リフトオフ等によりフォトレジスト膜とシリコン酸化物とをともに除去することにより、第3のエッチング工程を省略することができる。これにより、基板上に設けたフォトレジスト膜をマスクとして深穴等の穴を形成するための工程を簡略化することができる。
 次に、図10及び図11を参照し、第4の実施形態に係る半導体装置の製造方法について説明する。
 図10は、本実施形態に係る半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。図11は、本実施形態に係る半導体装置の製造方法の各工程における基板の構造を模式的に示す断面図である。
 本実施形態に係る半導体装置の製造方法は、第2の実施形態における第3のエッチング工程(ステップS24)に代え、フォトレジスト除去工程を行う。
 本実施形態の半導体装置の製造方法は、図10に示すように、設置工程(ステップS41)、第1のエッチング工程(ステップS42)、第2のエッチング工程(ステップS43)及びフォトレジスト除去工程(ステップS44)を有する。
 始めに、ステップS41の設置工程からステップS43の第2のエッチング工程を行う。ステップS41からステップS43の各ステップは、第2の実施形態におけるステップS21からステップS23の各ステップと同様である。図11(a)から図11(c)は、それぞれステップS41からステップS43の各ステップを行った後の基板の構造を示す。
 ただし、本実施形態でも、第2の実施形態と同様に、開口部103aの開口幅寸法W2に対するフォトレジスト膜102aの厚さ寸法T2の比率であるアスペクト比T2/W2を例えば1程度まで大きくする。従って、開口部103aの開口幅寸法W2にもよるが、例えば開口部103aの開口幅寸法W2が第1の実施形態における開口幅寸法W1と等しい場合には、フォトレジスト膜102aの厚さ寸法T2を第1の実施形態における厚さ寸法T1よりも大きくする。
 なお、本実施形態における「深穴」も、第1の実施形態と同様に、本発明における「穴」に相当する。また、深穴とは、数十~数百μm程度の深さの深穴を意味する。
 本実施形態では、ステップS43までを行った後、ステップS44のフォトレジスト除去工程を行う。ステップS44は、第3の実施形態におけるステップS35と同様である。図11(d)は、ステップS44を行った後の基板の構造を示す。
 本実施形態でも、第1のエッチング工程で形成されたシリコン酸化物よりなる薄い膜が、引き続いて行う第2のエッチング工程において、ハードマスクのような働きをする。従って、ボッシュプロセスで問題となっているスキャロップが発生することがなく、深穴を形状良く形成することができる。また全体のプロセス時間に占めるエッチング工程の時間の比率を増大させることができる。従って、本実施形態でも、基板上に設けたフォトレジスト膜をマスクとして高いエッチング速度及び高い選択比で形状良く基板に深穴等の穴を形成することができる。
 加えて、本実施形態では、予備エッチング工程及び第3のエッチング工程を省略することができる。これにより、基板上に設けたフォトレジスト膜をマスクとして深穴等の穴を形成するための工程を更に簡略化することができる。
 以上、本発明の好ましい実施形態について記述したが、本発明はかかる特定の実施形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
 なお、本発明はシリコン基板上に半導体装置を製造する場合にのみ適用されるものではなく、例えばSOI(Silicon On Insulator)基板等各種の基板上に半導体装置を製造する場合にも適用可能である。
 また、本発明の好ましい実施形態では、基板をエッチングして数十~数百μm程度の深さの深穴を形成する半導体装置の製造方法について説明した。しかしながら、基板をエッチングして形成する穴は深穴に限定されない。従って、本発明は、数十μm程度以下の深さのより浅い穴を形成する場合にも適用可能である。
 本国際出願は、2009年12月1日に出願された日本国特許出願2009-273840号に基づく優先権を主張するものであり、日本国特許出願2009-273840号の全内容を本国際出願に援用する。

Claims (8)

  1.  基板上に開口部を有するフォトレジスト膜が形成された前記基板をエッチングチャンバ内に設置する設置工程と、
     前記フォトレジスト膜をマスクとして、少なくともSiFとOとを含む第1の混合ガスを用いて、前記エッチングチャンバ内に設置された前記基板をプラズマエッチングする第1のエッチング工程と、
     前記第1のエッチング工程に続いて、少なくともSFとOとHBrとを含む第2の混合ガスを用いて前記基板をプラズマエッチングし、前記基板に穴を形成する第2のエッチング工程と、
    を有することを特徴とする半導体装置の製造方法。
  2.  前記基板はシリコン基板であり、前記第1のエッチング工程において、前記フォトレジスト膜の表面上にシリコン酸化膜が形成され、前記第2のエッチング工程において、前記シリコン酸化膜がプラズマに対しマスクとして機能することを特徴とする請求項1に記載の半導体装置の製造方法。
  3.  前記第1のエッチング工程の前に、予め前記開口部の開口幅寸法と略等しい深さまで前記基板をエッチングする予備エッチング工程を有することを特徴とする請求項1に記載の半導体装置の製造方法。
  4.  前記第2のエッチング工程に続いて、フルオロカーボンを含むガスを用いて、前記基板をエッチングする第3のエッチング工程を有することを特徴とする請求項1に記載の半導体装置の製造方法。
  5.  前記設置工程において、前記基板を前記エッチングチャンバ内に設けられた載置台に載置し、
     前記第3のエッチング工程において、前記載置台に載置された前記基板の自己バイアス電圧が50V以下の条件でエッチングすることを特徴とする請求項4に記載の半導体装置の製造方法。
  6.  前記第1のエッチング工程において、前記載置台に載置された前記基板の自己バイアス電圧が50V以下の条件でエッチングすることを特徴とする請求項5に記載の半導体装置の製造方法。
  7.  前記基板はシリコン基板であり、前記第1のエッチング工程において、前記フォトレジスト膜の表面上にシリコン酸化膜が形成され、前記第2のエッチング工程において、前記シリコン酸化膜がプラズマに対しマスクとして機能し、前記第3のエッチング工程において、前記シリコン酸化膜が除去されることを特徴とする請求項4に記載の半導体装置の製造方法。
  8.  前記第2のエッチング工程に続いて、リフトオフ溶剤を用いて、前記基板から前記フォトレジスト膜を除去するフォトレジスト除去工程を有することを特徴とする請求項1に記載の半導体装置の製造方法。
PCT/JP2010/070464 2009-12-01 2010-11-17 半導体装置の製造方法 WO2011068029A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/512,372 US8716144B2 (en) 2009-12-01 2010-11-17 Method for manufacturing semiconductor device
KR1020127013814A KR101295889B1 (ko) 2009-12-01 2010-11-17 반도체 장치의 제조 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009273840A JP5203340B2 (ja) 2009-12-01 2009-12-01 半導体装置の製造方法
JP2009-273840 2009-12-01

Publications (1)

Publication Number Publication Date
WO2011068029A1 true WO2011068029A1 (ja) 2011-06-09

Family

ID=44114881

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/070464 WO2011068029A1 (ja) 2009-12-01 2010-11-17 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US8716144B2 (ja)
JP (1) JP5203340B2 (ja)
KR (1) KR101295889B1 (ja)
TW (1) TWI445080B (ja)
WO (1) WO2011068029A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8416009B2 (en) * 2011-07-13 2013-04-09 International Business Machines Corporation Solutions for controlling bulk bias voltage in an extremely thin silicon-on-insulator (ETSOI) integrated circuit chip
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
KR102099408B1 (ko) * 2012-09-18 2020-04-10 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US8946076B2 (en) * 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
KR20150015978A (ko) 2013-08-02 2015-02-11 삼성디스플레이 주식회사 표시 장치의 방법
TWI593015B (zh) 2014-07-10 2017-07-21 東京威力科創股份有限公司 基板之高精度蝕刻方法
JP2018170363A (ja) * 2017-03-29 2018-11-01 東芝メモリ株式会社 半導体装置の製造方法及び半導体装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163478A (ja) * 1992-11-18 1994-06-10 Nippondenso Co Ltd 半導体のドライエッチング方法
JP2006093269A (ja) * 2004-09-22 2006-04-06 Tokyo Electron Ltd エッチング方法
JP2006156467A (ja) * 2004-11-25 2006-06-15 Matsushita Electric Ind Co Ltd プラズマエッチング方法
JP2007103876A (ja) * 2005-10-07 2007-04-19 Hitachi High-Technologies Corp エッチング方法およびエッチング装置
JP2007220939A (ja) * 2006-02-17 2007-08-30 Tokyo Electron Ltd 処理方法およびプラズマエッチング方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5976769A (en) * 1995-07-14 1999-11-02 Texas Instruments Incorporated Intermediate layer lithography
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6303513B1 (en) * 1999-06-07 2001-10-16 Applied Materials, Inc. Method for controlling a profile of a structure formed on a substrate
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
WO2003056617A1 (fr) * 2001-12-27 2003-07-10 Tokyo Electron Limited Procede de gravure et dispositif de gravure au plasma
WO2005055303A1 (ja) 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
US7405162B2 (en) 2004-09-22 2008-07-29 Tokyo Electron Limited Etching method and computer-readable storage medium
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
JP4653603B2 (ja) 2005-09-13 2011-03-16 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US7902078B2 (en) 2006-02-17 2011-03-08 Tokyo Electron Limited Processing method and plasma etching method
JP5124121B2 (ja) 2006-10-02 2013-01-23 株式会社アルバック ガラス基板のエッチング方法
JP5154260B2 (ja) * 2008-02-26 2013-02-27 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163478A (ja) * 1992-11-18 1994-06-10 Nippondenso Co Ltd 半導体のドライエッチング方法
JP2006093269A (ja) * 2004-09-22 2006-04-06 Tokyo Electron Ltd エッチング方法
JP2006156467A (ja) * 2004-11-25 2006-06-15 Matsushita Electric Ind Co Ltd プラズマエッチング方法
JP2007103876A (ja) * 2005-10-07 2007-04-19 Hitachi High-Technologies Corp エッチング方法およびエッチング装置
JP2007220939A (ja) * 2006-02-17 2007-08-30 Tokyo Electron Ltd 処理方法およびプラズマエッチング方法

Also Published As

Publication number Publication date
TW201137968A (en) 2011-11-01
US8716144B2 (en) 2014-05-06
JP2011119359A (ja) 2011-06-16
TWI445080B (zh) 2014-07-11
KR101295889B1 (ko) 2013-08-12
US20120238098A1 (en) 2012-09-20
JP5203340B2 (ja) 2013-06-05
KR20120073365A (ko) 2012-07-04

Similar Documents

Publication Publication Date Title
US8518830B2 (en) Plasma etching method and storage medium
US9177823B2 (en) Plasma etching method and plasma etching apparatus
KR102023784B1 (ko) 질화규소막 에칭 방법
TWI503881B (zh) A plasma etch method, a plasma etch apparatus, and a computer memory medium
JP5203340B2 (ja) 半導体装置の製造方法
WO2012133585A1 (ja) プラズマエッチング装置及びプラズマエッチング方法
US8975191B2 (en) Plasma etching method
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2014225501A (ja) プラズマエッチング方法及びプラズマエッチング装置
TW202004902A (zh) 基板處理方法及基板處理裝置
KR100893959B1 (ko) 처리 방법 및 플라즈마 에칭 방법
WO2003081655A1 (fr) Procédé d'attaque chimique au plasma
JP3950446B2 (ja) 異方性エッチング方法
TWI570803B (zh) A deep silicon etch method
JP5089871B2 (ja) 半導体装置の製造方法
US20070197040A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US11658040B2 (en) Plasma processing method
JP7202489B2 (ja) プラズマ処理方法
JP2011086783A (ja) プラズマエッチング方法
KR20030059466A (ko) 반도체 소자의 소자분리 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10834481

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20127013814

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 13512372

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10834481

Country of ref document: EP

Kind code of ref document: A1