WO2010092748A1 - 半導体装置、その製造方法及びプラズマドーピングシステム - Google Patents

半導体装置、その製造方法及びプラズマドーピングシステム Download PDF

Info

Publication number
WO2010092748A1
WO2010092748A1 PCT/JP2010/000285 JP2010000285W WO2010092748A1 WO 2010092748 A1 WO2010092748 A1 WO 2010092748A1 JP 2010000285 W JP2010000285 W JP 2010000285W WO 2010092748 A1 WO2010092748 A1 WO 2010092748A1
Authority
WO
WIPO (PCT)
Prior art keywords
fin
impurity
region
type semiconductor
semiconductor device
Prior art date
Application number
PCT/JP2010/000285
Other languages
English (en)
French (fr)
Inventor
奥村智洋
甲斐隆行
佐々木雄一朗
Original Assignee
パナソニック株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by パナソニック株式会社 filed Critical パナソニック株式会社
Priority to US12/866,649 priority Critical patent/US8324685B2/en
Publication of WO2010092748A1 publication Critical patent/WO2010092748A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to a semiconductor device, a manufacturing method thereof, and a plasma doping system, and more particularly to a three-dimensional semiconductor device having a fin-type semiconductor region on a substrate and a manufacturing method thereof.
  • a field effect transistor having this fin type structure is generally called a fin-type FET (Fin-Field Effect Transistor), and is a thin wall (fin) semiconductor region (hereinafter referred to as a fin-type semiconductor region) perpendicular to the main surface of the substrate. Active region made of a type semiconductor region).
  • fin-type FET Fin-Field Effect Transistor
  • active region made of a type semiconductor region.
  • FIG. 12 (a) to 12 (d) are diagrams showing the structure of a conventional fin-type FET, FIG. 12 (a) is a plan view, and FIG. 12 (b) is an AA in FIG. 12 (a).
  • 12 (c) is a cross-sectional view taken along the line BB in FIG. 12 (a)
  • FIG. 12 (d) is a cross-sectional view taken along the line CC in FIG. 12 (a). .
  • the conventional fin-type FET includes a support substrate 101 made of silicon, an insulating layer 102 made of silicon oxide formed on the support substrate 101, and an insulating layer 102.
  • Source / drain formed on both sides of the area And a frequency 117.
  • the fin-type semiconductor regions 103a to 103d are arranged on the insulating layer 102 so as to be arranged at regular intervals in the gate width direction.
  • the gate electrode 105 is formed so as to straddle the fin-type semiconductor regions 103a to 103d in the gate width direction.
  • the extension region 107 includes a first impurity region 107a formed above each of the fin-type semiconductor regions 103a to 103d and a second impurity region 107b formed on each side of the fin-type semiconductor regions 103a to 103d. It consists of and. Further, the source / drain region 117 is a third impurity region 117a formed above each of the fin-type semiconductor regions 103a to 103d and a fourth impurity region formed on each side of the fin-type semiconductor regions 103a to 103d. Impurity region 117b. Note that the description and illustration of the pocket area are omitted.
  • 13A to 13D are cross-sectional views showing a conventional method of manufacturing a semiconductor device in order of steps.
  • 13A to 13D correspond to the cross-sectional configuration taken along line CC in FIG. 12A.
  • FIGS. 13A to 13D the same components as those shown in FIGS. 12A to 12D are denoted by the same reference numerals, and redundant description is omitted.
  • an SOI (Silicon On Insulator) substrate in which a semiconductor layer made of silicon is provided on an insulating layer 102 made of silicon oxide on a support substrate 101 made of silicon is prepared. Thereafter, the semiconductor layer is patterned to form a fin-type semiconductor region 103b to be an active region.
  • SOI Silicon On Insulator
  • a polysilicon film 105A is formed over the entire surface of the support substrate 102.
  • the polysilicon film 105A and the gate insulating film 104 are sequentially etched to form the gate electrode 105 on the fin-type semiconductor region 103b via the gate insulating film 104b. Thereafter, using the gate electrode 105 as a mask, impurities are ion-implanted into the semiconductor region 103b to form extension regions 107 and pocket regions (not shown).
  • the insulating film is etched back using anisotropic dry etching, whereby the gate electrode 105 is formed. Insulating sidewall spacers 106 are formed on the side surfaces. Thereafter, impurities are ion-implanted into the semiconductor region 103b using the gate electrode 105 and the insulating sidewall spacer 106 as a mask to form source / drain regions 117.
  • a fin-type MISFET Metal Insulator Semiconductor Field Effect Transistor having the gate electrode 105 formed on the fin-type semiconductor region 103b through the gate insulating film 104b can be obtained.
  • FIG. 14A is a cross-sectional view showing a process of forming the extension region of the fin-type FET in Patent Document 1
  • FIG. 14B shows the step of forming the extension region of the fin-type FET in Non-Patent Document 1. It is sectional drawing which showed the process. 14A and 14B correspond to the cross-sectional configuration taken along the line BB in FIG. 12A (before the formation of the insulating sidewall spacer 106). 14 (a) and 14 (b), the same components as those shown in FIGS. 12 (a) to 12 (d) are given the same reference numerals, and redundant descriptions are omitted.
  • ions 108a and 108b are formed by ion implantation. Are implanted into the fin-type semiconductor regions 103a to 103d at implantation angles inclined to different sides with respect to the vertical direction, thereby forming the extension region 107.
  • a first impurity region 107a formed by implanting both ions 108a and ions 108b is formed above the fin-type semiconductor regions 103a to 103d.
  • a second impurity region 107b in which only one of the ions 108a or the ions 108b is implanted is formed on each side of the fin-type semiconductor regions 103a to 103d. That is, when the dose amount of the ions 108a and the dose amount of the ions 108b are the same, the implantation dose amount of the first impurity region 107a is twice as large as the implantation dose amount of the second impurity region 107b. .
  • the extension region 107 is formed in the fin-type semiconductor regions 103a to 103d using a plasma doping method.
  • a plasma doping method In the case of introducing impurities using the plasma doping method, introduced ions 109a, adsorbed species (neutral species such as gas molecules and radicals) 109b, and impurities 109c that leave the fin-type semiconductor regions 103a to 103d by sputtering.
  • a first impurity region 107a having an introduced dose determined by balance is formed on the fin-type semiconductor regions 103a to 103d.
  • the introduced dose amount at each side of the fin-type semiconductor regions 103a to 103d is less influenced by the introduced ions 109a and the separation impurities 109c due to sputtering, and the second impurity region has an introduced dose amount mainly determined by the adsorbed species 109b.
  • 107b is formed on the sides of the fin-type semiconductor regions 103a to 103d.
  • the introduction dose of the first impurity region 107a is increased by, for example, about 25% as compared with the introduction dose of the second impurity region 107b.
  • the introduced dose of the first impurity region 107a formed above the fin-type semiconductor regions 103a to 103d is set to the fin-type semiconductor regions 103a to 103a. This is higher than the introduction dose of the second impurity region 107b formed on the side portion 103d. Further, the junction depth of the second impurity region 107b is shallower than the junction depth of the first impurity region 107a. Accordingly, the sheet resistance, specific resistance, or spreading resistance of the first impurity region 107a is lower than the sheet resistance, specific resistance, or spreading resistance of the second impurity region 107b.
  • the resistivity (specific resistance) is ⁇
  • the thickness (joint depth) is t
  • the spreading resistance is ⁇ w
  • Rs ⁇ / t.
  • ⁇ w CF ⁇ k ⁇ ⁇ / (2 ⁇ r) widely known in the spread resistance measurement
  • the resistivity (specific resistance) ⁇ and the spread resistance ⁇ W are basically 1 Since there is a one-to-one relationship, it can be expressed as Rs ⁇ w / t.
  • the current flowing through the extension region 107 has a higher introduced dose (that is, lower sheet resistance) than the second impurity region 107b.
  • the source / drain regions are also formed using the same ion implantation method or plasma doping method as the extension regions. Therefore, also in the source / drain region 117, the introduced dose of the third impurity region 117a formed above the fin type semiconductor regions 103a to 103d is formed in the side portions of the fin type semiconductor regions 103a to 103d. This is higher than the introduced dose of the impurity region 117b. In addition, the junction depth of the fourth impurity region 117b is shallower than the junction depth of the third impurity region 117a.
  • the current flowing through the source / drain region 117 has a higher introduction dose (that is, a lower sheet resistance) than the fourth impurity region 117b. 3 concentrates on the third impurity region 117a, causing a problem that desired transistor characteristics cannot be obtained.
  • Patent Document 2 discloses a semiconductor device including an impurity region having an introduction dose amount equal to or higher than that of an upper portion of a fin-type semiconductor region on a side portion of the fin-type semiconductor region, and Manufacturing methods have been proposed.
  • an impurity is introduced into a fin-type semiconductor region by a plasma doping method, thereby forming a first impurity region above the fin-type semiconductor region and the fin-type semiconductor region.
  • the introduced dose is larger than the first dose.
  • the plasma doping method is performed under a second condition that provides a small second dose. Thereby, it is possible to obtain a semiconductor device including an impurity region having an introduction dose amount equal to or higher than that of the upper portion of the fin-type semiconductor region, that is, a semiconductor device having desired transistor characteristics.
  • the plasma doping process condition is changed after the introduction of impurities to some extent, and then the plasma doping process is performed until the introduced dose is sufficiently asymptotic to the second dose. Since it is necessary to do so, processing time may increase.
  • a first method of manufacturing a semiconductor device includes a step (a) of forming a fin-type semiconductor region on a substrate, and generating a donor level or an acceptor level in the semiconductor.
  • Step (c) includes a step (a) of forming a fin-type semiconductor region on a substrate, and generating a donor level or an acceptor level in the semiconductor.
  • a first impurity that generates a donor level or an acceptor level in a semiconductor is introduced into an upper portion and a side portion of a fin-type semiconductor region, and the fin-type semiconductor Oxygen or nitrogen as the second impurity, that is, an impurity that converts the semiconductor into an insulator is further introduced into the upper portion and the side portion of the region.
  • the resistance (specific resistance, sheet) of the side of the fin-type semiconductor region is increased.
  • Resistance or spreading resistance (hereinafter the same) can be set equal to or less than the resistance of the upper part of the fin-type semiconductor region. Further, by introducing the second impurity for making the semiconductor into an insulator, the processing time (time required for the step (c)) required to increase the resistance of the upper portion of the fin-type semiconductor region can be shortened. Therefore, a three-dimensional device such as an FET having good characteristics can be realized with high throughput.
  • a process (b) may be implemented before a process (c), and a process (b) may be implemented after a process (c).
  • the amount of the second impurity introduced into the side portion of the fin-type semiconductor region is an amount that does not affect the characteristics of the extension region and the source / drain region.
  • the second impurity for making the semiconductor into an insulator for example, if the semiconductor is Si, it is preferable to use oxygen or nitrogen introduced into Si to form an insulator (SiO 2 or SiN x ). Needless to say, it is not limited to.
  • a plasma doping method or an ion implantation method may be used.
  • the method for manufacturing a first semiconductor device further includes a step (d) of removing an upper portion of the fin-type semiconductor region after both the step (b) and the step (c) are completed. It may be.
  • a step (d) of removing an upper portion of the fin-type semiconductor region after both the step (b) and the step (c) are completed. It may be.
  • the insulator region formed by introducing the second impurity into the upper portion of the fin-type semiconductor region can be removed, the upper portion and both side portions of the fin-type semiconductor region function as a channel.
  • a triple gate FET can be configured.
  • the wet etching method is used in the step (d)
  • only the portion with a large amount of the second impurity introduced to make the semiconductor into an insulator can be accurately removed regardless of the etching time.
  • a dry etching method is used in the step (d) it is possible to avoid a situation in which etching in the lateral direction with respect to the gate insulating film (etch
  • the resistance of the side portion of the fin-type semiconductor region is the fin-type semiconductor. It may be less than or equal to the resistance at the top of the region. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • the first method of manufacturing a semiconductor device after the step (a) and before both the steps (b) and (c), at least a predetermined portion of the semiconductor region.
  • the first step One impurity and the second impurity may be introduced into the fin-type semiconductor region located outside the gate electrode. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • the first impurity may be boron, phosphorus, or arsenic. If it does in this way, the above-mentioned effect of the present invention can be acquired certainly.
  • the introduction of the second impurity is performed using inexpensive and safe oxygen gas or nitrogen gas. This is advantageous in terms of cost and process.
  • the second method for manufacturing a semiconductor device includes a step (a) of forming a fin-type semiconductor region on a substrate, and a plasma doping method using a first impurity that generates a donor level or an acceptor level in the semiconductor. (B) introducing into the upper and side portions of the fin-type semiconductor region, and (c) removing the upper portion of the fin-type semiconductor region after the step (b).
  • the fin type semiconductor Remove the top of the area. For this reason, the high concentration introduction layer of the first impurity in the upper part of the fin-type semiconductor region can be removed, and the low concentration introduction layer of the first impurity can be left. For this reason, the resistance of the side part of the fin-type semiconductor region can be set equal to or lower than the resistance of the upper part of the fin-type semiconductor region. Further, the processing time (time required for the step (c)) required for removing the upper portion of the fin-type semiconductor region may be short. Therefore, a three-dimensional device such as an FET having good characteristics can be realized with high throughput.
  • a dry etching method may be used in the step (c). In this way, it is possible to avoid a situation in which the lateral etching with respect to the gate insulating film (etching from the side surface of the gate insulating film) proceeds.
  • the resistance of the side portion of the fin-type semiconductor region is equal to the resistance of the upper portion of the fin-type semiconductor region. It may be the following. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • a gate insulating film is formed on at least a side surface of a predetermined portion of the semiconductor region.
  • the method further includes a step (d) and a step (e) of forming a gate electrode on the gate insulating film, and in the step (b), the first impurity is located outside the gate electrode. It may be introduced into the type semiconductor region. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • the first impurity may be boron, phosphorus, or arsenic. If it does in this way, the above-mentioned effect of the present invention can be acquired certainly.
  • the fin-type semiconductor region may be formed on an insulating layer formed on the substrate. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • the fin-type semiconductor region may be made of silicon. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • a first semiconductor device is a semiconductor device provided with a fin-type semiconductor region formed on a substrate, wherein a donor level or an acceptor level is provided in a semiconductor at an upper portion and a side portion of the fin-type semiconductor region.
  • a first impurity for generating a potential is introduced, and oxygen or nitrogen is further introduced as a second impurity in the upper and side portions of the fin-type semiconductor region.
  • the first impurity for generating a donor level or an acceptor level is introduced into the semiconductor at the upper and side portions of the fin-type semiconductor region, and the fin-type semiconductor region Oxygen or nitrogen, that is, an impurity for making a semiconductor into an insulator is further introduced as a second impurity in the upper part and the side part.
  • the resistance of the side portion of the fin type semiconductor region is reduced. It can be set equal to or lower than the upper resistance.
  • the processing time required to increase the resistance above the fin-type semiconductor region can be shortened. Therefore, a three-dimensional device such as an FET having good characteristics can be realized with high throughput.
  • the amount of the second impurity introduced at the side of the fin-type semiconductor region is an amount that does not affect the characteristics of the extension region and the source / drain region.
  • the second impurity for making the semiconductor into an insulator for example, if the semiconductor is Si, it is preferable to use oxygen or nitrogen introduced into Si to form an insulator (SiO 2 or SiN x ). Needless to say, it is not limited to.
  • the resistance of the side portion of the fin-type semiconductor region may be equal to or less than the resistance of the upper portion of the fin-type semiconductor region. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • an insulator region may be formed on the fin-type semiconductor region by introducing the second impurity.
  • a double gate FET in which only both sides of the fin type semiconductor region function as a channel is configured.
  • a triple gate FET may be configured by removing the insulator region into which the second impurity is introduced and allowing the upper portion of the fin type semiconductor region to function as a channel. That is, the removal of the insulator region also removes the first impurity high-concentration introduction layer above the fin-type semiconductor region, but the first impurity low-concentration introduction layer remains below the insulator region. If so, a triple gate FET can be formed.
  • the resistance of the first impurity introduction layer in the side portion of the fin-type semiconductor region is equal to or less than the resistance of the first impurity low-concentration introduction layer remaining above the fin-type semiconductor region. Is preferred. Further, the first impurity low-concentration introduction layer remaining above the fin-type semiconductor region may contain a second impurity that does not affect the characteristics of the extension region and the source / drain region.
  • the fin-type semiconductor region may be formed on an insulating layer formed on the substrate. In this way, a three-dimensional device such as an FET having better characteristics can be realized.
  • the first semiconductor device further comprises a gate insulating film formed on at least a side surface of a predetermined portion of the fin-type semiconductor region, and a gate electrode formed on the gate insulating film,
  • the first impurity and the second impurity may be introduced into the fin-type semiconductor region located outside the gate electrode.
  • a three-dimensional device such as an FET having better characteristics can be realized.
  • it further comprises an insulating sidewall spacer formed on the side surface of the gate electrode, the extension region is formed in a portion covered with the insulating sidewall spacer in the fin-type semiconductor region, More preferably, a source / drain region is formed by introducing the first impurity in a side portion of the fin-type semiconductor region located outside the insulating sidewall spacer.
  • the fin-type semiconductor region may be made of silicon.
  • the first impurity may be boron, phosphorus, or arsenic. If it does in this way, the above-mentioned effect of the present invention can be acquired certainly.
  • the introduction of the second impurity can be performed using cheap and safe oxygen gas or nitrogen gas. This is advantageous in terms of cost and process.
  • a first plasma doping system includes: a first plasma doping apparatus that introduces a first impurity that generates a donor level or an acceptor level in a semiconductor into a target object by a plasma doping method; And a second plasma doping apparatus for introducing oxygen or nitrogen as an impurity into the object to be processed by a plasma doping method.
  • the first impurity that generates the donor level or the acceptor level in the semiconductor can be introduced into the object to be processed by the plasma doping method, and the second impurity can be used as the second impurity.
  • Oxygen or nitrogen, that is, an impurity that makes a semiconductor an insulator can be introduced into a target object by a plasma doping method. Therefore, the manufacturing method of the first semiconductor device according to the present invention can be carried out.
  • a second plasma doping system includes a plasma doping apparatus that introduces a first impurity that generates a donor level or an acceptor level in a semiconductor into a target object by a plasma doping method, and oxygen as a second impurity.
  • a plasma doping apparatus that introduces a first impurity that generates a donor level or an acceptor level in a semiconductor into a target object by a plasma doping method, and oxygen as a second impurity.
  • an ion implantation apparatus for introducing nitrogen into the object to be processed by an ion implantation method is provided.
  • the first impurity that generates the donor level or the acceptor level in the semiconductor can be introduced into the object to be processed by the plasma doping method, and the second impurity can be used as the second impurity.
  • Oxygen or nitrogen, that is, an impurity that converts a semiconductor into an insulator can be introduced into a target object by an ion implantation method. Therefore, the manufacturing method of the first semiconductor device according to the present invention can be carried out.
  • the first or second plasma doping system according to the present invention may further include a dry etching apparatus that performs dry etching on the object to be processed.
  • a dry etching apparatus that performs dry etching on the object to be processed.
  • a plasma doping apparatus that introduces a first impurity that generates a donor level or an acceptor level in a semiconductor into a target object by a plasma doping method; And a dry etching apparatus for performing dry etching.
  • the first impurity that generates the donor level or the acceptor level in the semiconductor can be introduced into the target object by the plasma doping method. Dry etching can be performed. Therefore, the second method for manufacturing a semiconductor device according to the present invention can be carried out.
  • a third method for manufacturing a semiconductor device includes a step (a) of forming a fin-type semiconductor region on a substrate, an impurity that generates a donor level or an acceptor level in the semiconductor, and a plasma doping method using oxygen. (B) introducing into the upper and side portions of the fin-type semiconductor region.
  • the same effects as those of the first method for manufacturing a semiconductor device according to the present invention can be obtained.
  • a second semiconductor device is a semiconductor device provided with a fin-type semiconductor region formed on a substrate, wherein the semiconductor has a donor level or an acceptor level at an upper portion and a side portion of the fin-type semiconductor region. Impurities that generate positions and oxygen are introduced.
  • the same effect as that of the first semiconductor device of the present invention can be obtained.
  • a fourth plasma doping system is a plasma doping system in which impurities that generate a donor level or an acceptor level in a semiconductor and oxygen are introduced into an object to be processed by a plasma doping method.
  • the same effect as that of the first plasma doping system of the present invention can be obtained.
  • a desired characteristic can be obtained by forming a low-resistance impurity region on the side portion of the fin-type semiconductor region by using a plasma doping method, and a processing time until the desired characteristic is obtained. Can be reduced.
  • FIG. 1A to 1E are diagrams showing the structure of a semiconductor device according to the first embodiment of the present invention, specifically, a semiconductor device having a fin-type FET.
  • FIG. 1B is a cross-sectional view taken along line AA in FIG. 1A
  • FIG. 1C is a cross-sectional view taken along line BB in FIG. 1 (d) is a cross-sectional view taken along line CC in FIG. 1 (a)
  • FIG. 1 (e) is a cross-sectional view taken along line DD in FIG. 1 (a).
  • 2A to 2E are cross-sectional views showing a method of manufacturing a semiconductor device according to the first embodiment of the present invention in the order of steps.
  • FIG. 1B is a cross-sectional view taken along line AA in FIG. 1A
  • FIG. 3 is a cross-sectional view showing a configuration of a plasma doping apparatus or a dry etching apparatus used in the method for manufacturing a semiconductor device according to the first and second embodiments of the present invention.
  • FIGS. 4A to 4C are views showing the structure of a semiconductor device according to the second embodiment of the present invention, specifically, a semiconductor device having a fin-type FET.
  • FIG. 1A is a cross-sectional view taken along line BB in FIG. 1A
  • FIG. 4B is a cross-sectional view taken along line CC in FIG. 1A
  • FIG. 4C is a cross-sectional view taken in FIG. It is sectional drawing of the DD line.
  • FIG. 6 shows an As concentration profile (dashed line) in a silicon substrate into which As (arsenic) and O (oxygen) are introduced by plasma doping in the method for manufacturing a semiconductor device according to the second embodiment of the present invention. It is a figure which shows the As concentration profile (solid line) in the silicon substrate after removing the insulator area
  • FIG. 7 is a plan view showing a configuration example of a plasma doping system used in the method for manufacturing a semiconductor device according to the first and second embodiments of the present invention.
  • FIG. 8 is a plan view showing a configuration example of a plasma doping system used in the method for manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIG. 9 is a plan view showing a configuration example of a plasma doping system used in a method for manufacturing a semiconductor device according to a modification of the second embodiment of the present invention.
  • FIG. 10 is a plan view of a semiconductor device according to a modification of the first embodiment of the present invention.
  • FIGS. 11A to 11D are views showing the structure of a semiconductor device according to another modification of the first embodiment of the present invention, and FIG. FIG. 11B is a cross-sectional view taken along line BB in FIG. 1A, and FIG. 11C is a cross-sectional view taken along line CC in FIG. 1A.
  • FIG. 11 (d) is a cross-sectional view taken along the line DD in FIG. 1 (a).
  • 12 (a) to 12 (d) are diagrams showing the structure of a conventional fin-type FET, FIG. 12 (a) is a plan view, and FIG. 12 (b) is an AA in FIG. 12 (a).
  • 12 (c) is a cross-sectional view taken along the line BB in FIG. 12 (a), and
  • FIG. 12 (d) is a cross-sectional view taken along the line CC in FIG. 12 (a).
  • 13A to 13D are cross-sectional views showing a conventional method for manufacturing a semiconductor device in the order of steps.
  • FIG. 12 (a) to 12 (d) are diagrams showing the structure of a conventional fin-type FET, FIG. 12 (a) is a plan view, and FIG. 12 (b) is an AA in FIG. 12 (a).
  • 12 (c) is a cross-sectional view taken along the line BB in FIG. 12
  • FIG. 14A is a cross-sectional view showing a process of forming the extension region of the fin-type FET in Patent Document 1
  • FIG. 14B shows the step of forming the extension region of the fin-type FET in Non-Patent Document 1. It is sectional drawing which showed the process.
  • FIG. 1A to 1E are views showing the structure of a semiconductor device according to the present embodiment, specifically, a semiconductor device having a fin-type FET.
  • FIG. 1A is a plan view.
  • 1B is a cross-sectional view taken along the line AA in FIG. 1A
  • FIG. 1C is a cross-sectional view taken along the line BB in FIG. 1A
  • FIG. 1A is a cross-sectional view taken along the line CC in FIG. 1A
  • FIG. 1E is a cross-sectional view taken along the line DD in FIG.
  • the fin-type FET of this embodiment includes a support substrate 11 made of, for example, silicon, an insulating layer 12 made of, for example, silicon oxide formed on the support substrate 11, and Fin-type semiconductor regions 13a to 13d made of, for example, silicon formed on the insulating layer 12, and gates formed on the fin-type semiconductor regions 13a to 13d through gate insulating films 14a to 14d made of, for example, silicon oxynitride films
  • Each of the fin-type semiconductor regions 13a to 13d has a width a in the gate width direction of, for example, about 30 nm, a width b in the gate length direction of, for example, about 200 nm, and a height (thickness) c of, for example, about 50 nm.
  • the gate electrode 15 is formed so as to straddle the fin-type semiconductor regions 13a to 13d in the gate width direction.
  • the extension regions 17 are formed on the side portions of the fin-type semiconductor regions 13a to 13d covered with the insulating sidewall spacers 16.
  • the source / drain regions 27 are formed on the sides of the fin-type semiconductor regions 13 a to 13 d outside the insulating sidewall spacer 16. Note that the description and illustration of the pocket area are omitted.
  • a first impurity for example, boron
  • a second impurity for example, oxygen
  • the sheet resistance of the side portions of the fin-type semiconductor regions 13a to 13d is set to be equal to or less than the sheet resistance of the upper portion of the fin-type semiconductor regions 13a to 13d.
  • the second impurity is introduced into the upper portions of the fin-type semiconductor regions 13a to 13d covered with the insulating sidewall spacer 16.
  • the insulator region 37 is formed, and the insulator region 47 is formed in the upper part of the fin type semiconductor regions 13a to 13d outside the insulating sidewall spacer 16 by introducing the second impurity.
  • the first impurity is introduced into the side portions of the fin-type semiconductor regions 13a to 13d covered with the insulating sidewall spacers 16. Impurity regions to be the extension regions 17 are formed, and the first impurity is introduced into the side portions of the fin-type semiconductor regions 13a to 13d outside the insulating sidewall spacer 16 to thereby form the source / drain regions. An impurity region to be 27 is formed.
  • a double gate type FET in which only both sides of the fin type semiconductor regions 13a to 13d function as a channel is configured. That is, as the ratio of the height (height (thickness) c in FIG. 1A) to the width of the fin-type semiconductor regions 13a to 13d (width a in the gate width direction in FIG. 1A) increases, Since sufficient widths of the extension region 17 and the source / drain region 27 can be secured in the gate width direction, desired transistor characteristics can be obtained.
  • a second impurity that does not affect the characteristics of the extension region 17 and the source / drain region 27 may be introduced into the side portions of the fin-type semiconductor regions 13a to 13d.
  • the sheet resistance of the side portions (extension region 17 and source / drain region 27) of the fin type semiconductor regions 13a to 13d is set to the upper portion (insulator regions 37 and 47) of the fin type semiconductor regions 13a to 13d.
  • the sheet resistance is set to be equal to or less than the sheet resistance.
  • the specific resistance or spreading resistance of the side portions (extension region 17 and source / drain region 27) of the fin-type semiconductor regions 13a to 13d is set to the upper portion (insulator region 37) of the fin-type semiconductor regions 13a to 13d.
  • the same effect can be obtained even if the specific resistance or the spreading resistance is set equal to or less than the specific resistance.
  • Rs ⁇ / t
  • the resistivity (specific resistance)
  • t the thickness (junction depth)
  • ⁇ w the spreading resistance
  • the resistivity (specific resistance) ⁇ and the spreading resistance ⁇ W are basically in a one-to-one relationship, it can be expressed as Rs ⁇ w / t.
  • sheet resistance will be mainly used for explanation, but “sheet resistance” may be read as “specific resistance” or “spreading resistance” for the magnitude relationship of resistance.
  • 2A to 2E are cross-sectional views showing a method of manufacturing a semiconductor device according to this embodiment in the order of steps.
  • 2A to 2E correspond to the cross-sectional configuration along the line DD in FIG. 1A.
  • a semiconductor layer made of, for example, silicon and having a thickness of 50 nm is formed on a support substrate 11 made of, for example, silicon and having a thickness of 150 nm, for example, via an insulating layer 12 made of, for example, silicon oxide.
  • An SOI substrate provided with is prepared.
  • the semiconductor layer is patterned to form an n-type fin-type semiconductor region 13b serving as an active region.
  • the fin-type semiconductor region 13b has a width a in the gate width direction of about 30 nm, a width b in the gate length direction of about 200 nm, and a height (thickness) c of about 50 nm, for example. It arrange
  • a gate insulating film 14 made of, for example, a silicon oxynitride film is formed on the surface of the fin-type semiconductor region 13b, and then the entire surface of the support substrate 12 is formed.
  • a polysilicon film 15A having a thickness of 60 nm is formed.
  • the polysilicon film 15A and the gate insulating film 14 are sequentially etched, and the width in the gate length direction, for example, is 60 nm on the fin-type semiconductor region 13b via the gate insulating film 14b.
  • the gate electrode 15 is formed.
  • a first impurity for example, boron
  • a donor level or an acceptor level in the semiconductor is introduced into the upper and side portions of the fin-type semiconductor region 13b by plasma doping.
  • the p-type first impurity region 7a is formed above the fin-type semiconductor region 13b, and the p-type second impurity region 7b is formed on the side of the fin-type semiconductor region 13b.
  • the first impurity region 7a is formed so as to have a larger introduction dose than the second impurity region 7b.
  • the reason for this is as follows (see FIG. 14B showing a conventional example).
  • a first impurity region 107a having an introduced dose determined by balance is formed on the fin-type semiconductor regions 103a to 103d.
  • the introduced dose amount at each side of the fin-type semiconductor regions 103a to 103d is less influenced by the introduced ions 109a and the separated impurities 109c by sputtering, and the second impurity region has an introduced dose amount mainly determined by the adsorbed species 109b.
  • 107b is formed on the sides of the fin-type semiconductor regions 103a to 103d.
  • the introduction dose of the first impurity region 107a is increased by, for example, about 25% as compared with the introduction dose of the second impurity region 107b.
  • the plasma doping process for forming the first and second impurity regions 7a and 7b can be performed using, for example, a plasma doping apparatus shown in FIG.
  • a plasma doping apparatus shown in FIG. 3 while a predetermined gas is introduced from a gas supply device 52 into the vacuum vessel 51, exhaust is performed by a turbo molecular pump 53 as an exhaust device, and the pressure regulating valve 54 is used to evacuate the vacuum vessel 51. Can be maintained at a predetermined pressure.
  • inductively coupled plasma is generated in the vacuum vessel 51 by supplying high frequency power of, for example, 13.56 MHz to the coil 58 provided in the vicinity of the dielectric window 57 facing the sample electrode 56 by the high frequency power supply 55. Can be made.
  • a substrate 59 as a sample is placed on the sample electrode 56.
  • a high frequency power supply 60 for supplying high frequency power to the sample electrode 56 is provided, and the high frequency power supply 50 is configured such that the potential of the sample electrode 56 is such that a substrate 59 as a sample has a negative potential with respect to plasma. It functions as a voltage source for controlling. In this manner, ions in the plasma can be accelerated and collide with the surface of the sample (substrate 59) to make the surface of the sample amorphous or to introduce impurities.
  • the gas supplied from the gas supply device 52 is exhausted from the exhaust port 61 to the turbo molecular pump 53.
  • the turbo molecular pump 53 and the exhaust port 61 are disposed immediately below the sample electrode 56, and the pressure regulating valve 54 is a lift valve positioned directly below the sample electrode 56 and directly above the turbo molecular pump 53.
  • the sample electrode 56 is fixed to the vacuum vessel 51 by four support columns 62 (two of which are shown in the figure).
  • the plasma doping conditions for forming the first and second impurity regions 7a and 7b are, for example, B 2 H 6 (diborane) in which the source gas is diluted with He (helium).
  • the diborane concentration is 0.05 mass%
  • the total flow rate of the source gas is 420 cc / min (standard state)
  • the pressure in the chamber is 0.9 Pa
  • the high-frequency power supplied to the coil is 2000 W
  • the high frequency power supplied to is 135 W
  • the substrate temperature is 20 ° C.
  • a second impurity for example, oxygen
  • a second impurity for insulating the semiconductor is introduced into the upper portion of the fin-type semiconductor region 13b by plasma doping.
  • an insulator region 37 is formed above the fin-type semiconductor region 13b.
  • a second impurity that does not deteriorate the characteristics of the extension region and the source / drain region may be introduced into the side portion of the fin-type semiconductor region 13b.
  • the p-type second impurity region 7b formed in the side portion of the fin-type semiconductor region 13b in the step shown in FIG. 2C is modified to become the p-type second impurity region 17b.
  • the p-type second impurity region 17b becomes an extension region 17 on the side of the fin-type semiconductor region 13b covered with the insulating sidewall spacer 16 (see FIG. 2E) (see FIG. 1C). ).
  • the sheet resistance of the second impurity region 17b constituting the extension region 17 can be made smaller than the sheet resistance of the insulator region 37 above the fin-type semiconductor region 13b. That is, the sheet resistance, specific resistance, or spreading resistance of the second impurity region 17b can be made smaller than the sheet resistance, specific resistance, or spreading resistance of the insulator region 37. Therefore, as the ratio of the height (height (thickness) c in FIG. 1A) to the width of the fin-type semiconductor region 13b (width a in the gate width direction in FIG. 1A) increases, the gate width increases. Since the width of the extension region 17 can be sufficiently secured in the direction, desired transistor characteristics can be obtained.
  • the above-described plasma doping apparatus shown in FIG. 3 can be used for plasma doping of oxygen, which is the second impurity for insulating the semiconductor.
  • the plasma doping conditions at that time are, for example, that the source gas is O 2 (oxygen), the flow rate of the source gas is 50 cc / min (standard state), the pressure in the chamber is 0.5 Pa, The high frequency power supplied is 2000 W, the high frequency power supplied to the sample electrode is 800 W, and the substrate temperature is 20 ° C.
  • oxygen doping is performed by supplying a relatively high high-frequency power to the sample electrode, anisotropic doping occurs, and doping proceeds selectively in a direction perpendicular to the main surface of the substrate. Therefore, the second impurity region 17b on the side of the fin-type semiconductor region 13b is hardly doped with oxygen.
  • the atomic density of oxygen is about the atomic density of silicon (about 5.0 ⁇ 10 22 / cm 3 ) in the formation range of the insulator region 37 (from the substrate top surface to a depth of about several nanometers). Set to about 1 to 2 times.
  • an ashing process is well known as a typical plasma process using oxygen as a reactive species.
  • an isotropic oxidation (ashing) reaction occurs.
  • anisotropic doping can occur.
  • the source gas is a second impurity by using a magnetic field microwave plasma source (see, for example, JP-A-11-219950) as a mixed gas of Ar (argon) and O 2.
  • Oxygen plasma doping may be performed.
  • impurities are ion-implanted into the fin-type semiconductor region 13b using the gate electrode 15 as a mask to form an n-type pocket region.
  • an insulating film having a thickness of, for example, 60 nm is formed over the entire surface of the support substrate 11, the insulating film is etched back using anisotropic dry etching.
  • an insulating sidewall spacer 16 is formed on the side surface of the gate electrode 15.
  • the gate level 15 and the insulating sidewall spacer 16 are used as a mask to set the donor level or the acceptor level in the semiconductor.
  • a first impurity (for example, boron) to be generated is introduced into the upper and side portions of the fin-type semiconductor region 13b by a plasma doping method.
  • the second impurity (for example, oxygen) for insulating the semiconductor is plasma-doped using the gate electrode 15 and the insulating sidewall spacer 16 as a mask.
  • an insulating region 47 is formed on the fin-type semiconductor region 13 b outside the insulating sidewall spacer 16, and the fins outside the insulating sidewall spacer 16 are formed.
  • a p-type impurity region to be the source / drain region 27 is formed on the side of the type semiconductor region 13b.
  • the sheet resistance of the source / drain region 27 can be made smaller than the sheet resistance of the insulator region 47 on the fin-type semiconductor region 13b. That is, the sheet resistance, specific resistance, or spreading resistance of the source / drain region 27 can be made smaller than the sheet resistance, specific resistance, or spreading resistance of the insulator region 47. Therefore, as the ratio of the height (height (thickness) c in FIG. 1A) to the width of the fin-type semiconductor region 13b (width a in the gate width direction in FIG. 1A) increases, the gate width increases. Since a sufficient width of the source / drain region 27 can be ensured in the direction, desired transistor characteristics can be obtained.
  • the features of this embodiment are as follows. That is, when the extension region 17 of the fin-type FET is formed using the plasma doping method, the first impurity (for example, boron) that generates a donor level or an acceptor level in the semiconductor is removed by the plasma doping method. Then, a second impurity (for example, oxygen) for insulating the semiconductor is introduced into the upper portion of the fin-type semiconductor region 13b by a plasma doping method. As a result, the second impurity region 17b (extension region 17) having a smaller sheet resistance, specific resistance, or spreading resistance than the insulating region 37 above the fin-type semiconductor region 13b is provided on the side of the fin-type semiconductor region 13b. A type MISFET can be obtained.
  • the first impurity for example, boron
  • a second impurity for example, oxygen
  • the gate width increases. Since the width of the extension region 17 can be sufficiently secured in the direction, desired transistor characteristics can be obtained.
  • the normal plasma doping method can be used in the step of introducing each of the first impurity and the second impurity when forming the extension region 17, the introduction of each impurity is performed in a very short time (for example, 10 to 120 seconds). As a result, the total processing time can be remarkably shortened as compared with the conventional method.
  • a first impurity for example, boron
  • a second impurity for example, oxygen
  • a fin-type MISFET having a source / drain region 27 having a sheet resistance, specific resistance, or spreading resistance smaller than that of the insulator region 47 on the fin-type semiconductor region 13b on the side of the fin-type semiconductor region 13b can be obtained. It can. Therefore, as the ratio of the height (height (thickness) c in FIG. 1A) to the width of the fin-type semiconductor region 13b (width a in the gate width direction in FIG. 1A) increases, the gate width increases. Since a sufficient width of the source / drain region 27 can be ensured in the direction, desired transistor characteristics can be obtained.
  • the normal plasma doping method can be used in the step of introducing each of the first impurity and the second impurity when forming the source / drain region 27, the introduction of each impurity is performed for a very short time (for example, 10 to 10). 120 seconds), and as a result, the total processing time can be remarkably shortened compared to the conventional case.
  • the p-type extension region 17 and the p-type source / drain region 27, that is, the p-type MISFET are formed by plasma doping the n-type fin-type semiconductor region 13b with p-type impurities. Illustrated. However, instead of this, an n-type extension region and an n-type source / drain region, that is, an n-type MISFET may be formed by doping an n-type impurity into a p-type fin-type semiconductor region.
  • the second impurity plasma doping is performed.
  • the plasma doping of the first impurity may be performed after the plasma doping of the second impurity.
  • the plasma doping of the second impurity may be omitted when the source / drain region 27 is formed.
  • the second impurity may be introduced in advance with a sufficient dose into the upper portion of the fin-type semiconductor region 13b in the plasma doping of the second impurity when the extension region 17 is formed.
  • FIG. 4A is a diagram showing the structure of FIG. 4B is a sectional view taken along line CC in FIG. 1A
  • FIG. 4C is a sectional view taken along line DD in FIG. 1A. It is sectional drawing.
  • the cross-sectional configuration along the line AA in FIG. 1A is the same as the cross-sectional configuration of the semiconductor device of the first embodiment shown in FIG.
  • the fin-type FET of this embodiment is formed on a support substrate 11 made of, for example, silicon, and the support substrate 11.
  • a support substrate 11 made of, for example, silicon
  • an insulating layer 12 made of silicon oxide
  • fin-type semiconductor regions 13a to 13d formed on the insulating layer 12
  • gate insulating films 14a to 14d made of, for example, silicon oxynitride films are formed on the fin-type semiconductor regions 13a to 13d.
  • Each of the fin-type semiconductor regions 13a to 13d has a width a in the gate width direction of, for example, about 30 nm, a width b in the gate length direction of, for example, about 200 nm, and a height (thickness) c of, for example, about 50 nm.
  • the insulating layer 12 it arrange
  • the gate electrode 15 is formed so as to straddle the fin-type semiconductor regions 13a to 13d in the gate width direction.
  • the extension region 17 includes a first impurity region 17a formed above each of the fin-type semiconductor regions 13a to 13d and a second impurity region 17b formed on each side of the fin-type semiconductor regions 13a to 13d. It consists of and.
  • the source / drain region 27 is a third impurity region 27a formed above each of the fin-type semiconductor regions 13a to 13d and a fourth impurity region formed on each side of the fin-type semiconductor regions 13a to 13d. Impurity region 27b. Note that the description and illustration of the pocket area are omitted.
  • a triple gate FET is configured in which the upper and both sides of the fin type semiconductor regions 13a to 13d function as channels.
  • the features of this embodiment are as follows. That is, the implantation dose amount of the second impurity region 17b formed on the side of the fin-type semiconductor region is set to be equal to or greater than the implantation dose amount of the first impurity region 17a formed above the fin-type semiconductor region. Has been. Accordingly, the sheet resistance of the second impurity region 17b constituting the extension region 17 can be set to be equal to or lower than the sheet resistance of the first impurity region 17a. Even if the ratio of the width of the second impurity region 17b formed on the side of the semiconductor region increases, desired transistor characteristics can be obtained.
  • the implantation dose amount of the fourth impurity region 27b formed on the side of the fin type semiconductor region is equal to or greater than the implantation dose amount of the third impurity region 27a formed above the fin type semiconductor region. Is set.
  • the sheet resistance of the fourth impurity region 27b constituting the source / drain region 27 can be set to be equal to or lower than the sheet resistance of the third impurity region 27a. Even if the proportion of the width of the fourth impurity region 27b formed on the side of the fin-type semiconductor region in the width increases, desired transistor characteristics can be obtained.
  • the sheet resistance of the second impurity region 17b (fourth impurity region 27b) is set equal to or less than the sheet resistance of the first impurity region 17a (third impurity region 27a).
  • the specific resistance or spreading resistance of the second impurity region 17b (fourth impurity region 27b) is set equal to or less than the specific resistance or spreading resistance of the first impurity region 17a (third impurity region 27a).
  • Rs ⁇ / t, where Rs is the sheet resistance of the object, ⁇ is the resistivity (specific resistance), t is the thickness (junction depth), and ⁇ w is the spreading resistance.
  • the resistivity (specific resistance) ⁇ and the spreading resistance ⁇ w are basically in a one-to-one relationship, it can be expressed as Rs ⁇ w / t.
  • sheet resistance will be mainly used for explanation, but “sheet resistance” may be read as “specific resistance” or “spreading resistance” for the magnitude relationship of resistance.
  • the implantation dose amount of the second impurity region 17b formed on the side of the fin type semiconductor region is 80, which is the implantation dose amount of the first impurity region 17a formed above the fin type semiconductor region. If it is about% (more preferably 90%) or more, the transistor characteristics can be remarkably improved as compared with the prior art.
  • the implantation dose amount of the fourth impurity region 27b formed on the side of the fin type semiconductor region is 80% (more preferably) of the implantation dose amount of the third impurity region 27a formed above the fin type semiconductor region. 90%) or more, the transistor characteristics can be remarkably improved as compared with the prior art.
  • the second impurity region Even if “the height of the side surface of the fin-type semiconductor region” / “the width of the upper surface of the fin-type semiconductor region in the gate width direction” (hereinafter referred to as the aspect ratio) is small, the second impurity region Even if the implantation dose of 17b is somewhat smaller than the implantation dose of the first impurity region 17a, that is, the sheet resistance, specific resistance, or spreading resistance of the second impurity region 17b is the sheet of the first impurity region 17a. Even if the resistance, specific resistance, or spreading resistance is large to some extent (for example, about 10% or less), the transistor characteristics are hardly deteriorated.
  • the implantation dose of the second impurity region 17b it is necessary to make the implantation dose of the second impurity region 17b equal to or greater than the implantation dose of the first impurity region 17a, that is, the second impurity region 17b.
  • the necessity of making the sheet resistance, specific resistance, or spreading resistance equal to or less than the sheet resistance, specific resistance, or spreading resistance of the first impurity region 17a increases.
  • the aspect ratio is small, even if the implantation dose of the fourth impurity region 27b is somewhat smaller than the implantation dose of the third impurity region 27a, that is, the sheet resistance of the fourth impurity region 27b, Even if the specific resistance or spreading resistance is larger to some extent (for example, about 10% or less) than the sheet resistance, specific resistance, or spreading resistance of the third impurity region 27a, the deterioration of the transistor characteristics is small.
  • the implantation dose of the fourth impurity region 27b needs to be equal to or greater than the implantation dose of the third impurity region 27a, that is, the sheet of the fourth impurity region 27b. The necessity of making the resistance, specific resistance, or spreading resistance equal to or less than the sheet resistance, specific resistance, or spreading resistance of the third impurity region 27a increases.
  • FIGS. 5A to 5G are cross-sectional views showing the method of manufacturing the semiconductor device according to this embodiment in the order of steps. 5 (a) to 5 (g) correspond to the cross-sectional configuration along the line DD in FIG. 1 (a).
  • a semiconductor layer having a thickness of 50 nm made of, for example, silicon is provided on a support substrate 11 made of, for example, silicon and having a thickness of 150 nm, for example, via an insulating layer 12 made of, for example, silicon oxide.
  • An SOI substrate provided with is prepared.
  • the semiconductor layer is patterned to form a p-type fin-type semiconductor region 13b serving as an active region.
  • the fin-type semiconductor region 13b has a width a in the gate width direction of about 30 nm, a width b in the gate length direction of about 200 nm, and a height (thickness) c of about 50 nm, for example. It arrange
  • a gate insulating film 14 made of, for example, a silicon oxynitride film is formed on the surface of the fin-type semiconductor region 13b, and then the entire surface of the support substrate 12 is formed.
  • a polysilicon film 15A having a thickness of 60 nm is formed.
  • the polysilicon film 15A and the gate insulating film 14 are sequentially etched, and the width in the gate length direction, for example, is 60 nm on the fin-type semiconductor region 13b via the gate insulating film 14b.
  • the gate electrode 15 is formed.
  • a first impurity for example, arsenic
  • a first impurity for example, arsenic
  • arsenic that generates a donor level or an acceptor level in the semiconductor
  • the n-type first impurity region 7a is formed above the fin-type semiconductor region 13b
  • the n-type second impurity region 7b is formed on the side of the fin-type semiconductor region 13b.
  • the first impurity region 7a is formed so as to have a larger introduction dose than the second impurity region 7b.
  • the reason for this is as follows (see FIG. 14B showing a conventional example).
  • a first impurity region 107a having an introduced dose determined by balance is formed on the fin-type semiconductor regions 103a to 103d.
  • the introduced dose amount at each side of the fin-type semiconductor regions 103a to 103d is less influenced by the introduced ions 109a and the separated impurities 109c by sputtering, and the second impurity region has an introduced dose amount mainly determined by the adsorbed species 109b.
  • 107b is formed on the sides of the fin-type semiconductor regions 103a to 103d.
  • the introduction dose of the first impurity region 107a is increased by, for example, about 25% as compared with the introduction dose of the second impurity region 107b.
  • the plasma doping process for forming the first and second impurity regions 7a and 7b can be performed using, for example, a plasma doping apparatus shown in FIG.
  • the plasma doping conditions are, for example, AsH 4 (arsine) diluted with He (helium), the arsine concentration in the source gas is 0.3 mass%, and the total flow rate of the source gas is 300 cc / min (standard state), chamber pressure is 0.9 Pa, high-frequency power supplied to the coil is 2000 W, high-frequency power supplied to the sample electrode is 200 W, and the substrate temperature is 20 ° C. .
  • a second impurity for example, oxygen
  • a second impurity for example, oxygen
  • an insulator region 37 is formed on the surface of the first impurity region 7a formed above the fin-type semiconductor region 13b, and below the insulator region 37.
  • the n-type first impurity region 17a (the remainder of the first impurity region 7a) remains on the side.
  • the first impurity region 17a may contain a second impurity that does not deteriorate the characteristics of the extension region and the source / drain region.
  • a second impurity that does not deteriorate the characteristics of the extension region and the source / drain region may be introduced into the side portion of the fin-type semiconductor region 13b.
  • the n-type second impurity region 7b formed in the side portion of the fin-type semiconductor region 13b in the step shown in FIG. 5C is modified to become the n-type second impurity region 17b.
  • the first impurity region 17a and the second impurity region 17b become the extension region 17 in the fin-type semiconductor region 13b covered with the insulating sidewall spacer 16 (see FIG. 5F) (FIG. 4 ( a)).
  • the high impurity concentration introduction portion of the first impurity in the first impurity region 7a becomes the insulator region 37, and the remaining first impurity region 7a becomes the first impurity region 17a.
  • the sheet resistance of the second impurity region 17b on the side of the region 13b can be made smaller than the sheet resistance of the first impurity region 17a above the fin-type semiconductor region 13b. That is, the sheet resistance, specific resistance, or spreading resistance of the second impurity region 17b can be made smaller than the sheet resistance, specific resistance, or spreading resistance of the first impurity region 17a. Therefore, as the ratio of the height (height (thickness) c in FIG. 1A) to the width of the fin-type semiconductor region 13b (width a in the gate width direction in FIG. 1A) increases, the gate width increases. Since the width of the extension region 17 can be sufficiently secured in the direction, desired transistor characteristics can be obtained.
  • the above-described plasma doping apparatus shown in FIG. 3 can be used for plasma doping of oxygen, which is the second impurity for insulating the semiconductor.
  • the plasma doping conditions at that time are, for example, that the source gas is O 2 (oxygen), the flow rate of the source gas is 50 cc / min (standard state), the pressure in the chamber is 0.5 Pa, The high frequency power supplied is 2000 W, the high frequency power supplied to the sample electrode is 800 W, and the substrate temperature is 20 ° C.
  • oxygen doping is performed by supplying a relatively high high-frequency power to the sample electrode, anisotropic doping occurs, and doping proceeds selectively in a direction perpendicular to the main surface of the substrate. Therefore, the second impurity region 17b on the side of the fin-type semiconductor region 13b is hardly doped with oxygen.
  • the atomic density of oxygen is about the atomic density of silicon (about 5.0 ⁇ 10 22 / cm 3 ) in the formation range of the insulator region 37 (from the substrate top surface to a depth of about several nanometers). Set to about 1 to 2 times.
  • an ashing process is well known as a typical plasma process using oxygen as a reactive species.
  • an isotropic oxidation (ashing) reaction occurs.
  • anisotropic doping can occur.
  • the source gas is a second impurity by using a magnetic field microwave plasma source (see, for example, JP-A-11-219950) as a mixed gas of Ar (argon) and O 2.
  • Oxygen plasma doping may be performed.
  • the insulator region 37 formed on the fin-type semiconductor region 13b is removed.
  • a method for removing the insulator region 37 for example, dry etching using plasma made of a mixed gas of Ar and CF 4 can be used.
  • the exposed surface of the insulating layer 12 made of silicon oxide is also etched, though only slightly.
  • a dry etching apparatus having the same configuration as the plasma doping apparatus shown in FIG. 3 can be used.
  • the dry etching conditions in that case are, for example, CF 4 (tetrafluoromethane) diluted with Ar (argon) as the source gas, the tetrafluoromethane concentration in the source gas is 5 mass%, and the source gas
  • the total flow rate is 200 cc / min (standard state)
  • the pressure in the chamber is 1.3 Pa
  • the high frequency power supplied to the coil is 1500 W
  • the high frequency power supplied to the sample electrode is 100 W
  • the substrate temperature is 20 ° C.
  • impurities are ion-implanted into the fin-type semiconductor region 13b using the gate electrode 15 as a mask to form an n-type pocket region.
  • An n-type extension region 17 is constituted by the second impurity region 17b.
  • the first impurity region 7a formed in the step shown in FIG. 5C includes an upper insulator region 37 and a lower first impurity region 17a in the step shown in FIG.
  • the insulator region 37 is removed in the step shown in FIG.
  • the region doped with As at a high concentration in the first impurity region 7a formed in the step shown in FIG. 5C is selectively removed, so that the step shown in FIG.
  • the sheet resistance of the second impurity region 17b constituting the extension region 17 can be set equal to or less than the sheet resistance of the first impurity region 17a. That is, the sheet resistance, specific resistance, or spreading resistance of the second impurity region 17b can be set equal to or less than the sheet resistance, specific resistance, or spreading resistance of the first impurity region 17a. Therefore, even if the ratio of the width of the second impurity region 17b formed on the side of the fin-type semiconductor region 13b in the width of the extension region 17 in the gate width direction increases, desired transistor characteristics can be obtained.
  • an insulating sidewall spacer 16 is formed on the side surface of the gate electrode 15.
  • the gate level 15 and the insulating sidewall spacer 16 are used as a mask to set the donor level or the acceptor level in the semiconductor.
  • the generated first impurity for example, arsenic
  • the second impurity for example, oxygen
  • the semiconductor is plasma-doped using the gate electrode 15 and the insulating sidewall spacer 16 as a mask.
  • an n-type third impurity region 27a is formed on the fin-type semiconductor region 13b outside the insulating sidewall spacer 16, and its surface portion is made of an insulator. The region 47 is reformed.
  • An n-type fourth impurity region 27b is formed on the side of the fin-type semiconductor region 13b outside the insulating sidewall spacer 16.
  • the third impurity region 27 a and the fourth impurity region 27 b constitute a source / drain region 27.
  • the third and fourth impurity regions 27a and 27b may contain a second impurity that does not deteriorate the characteristics of the source / drain region 27.
  • the insulator region 47 formed on the fin-type semiconductor region 13b is removed.
  • a method for removing the insulator region 47 for example, dry etching using plasma made of a mixed gas of Ar and CF 4 can be used.
  • the exposed surface of the insulating layer 12 made of silicon oxide is also etched, though only slightly.
  • An n-type source / drain region 27 is formed from the fourth impurity region 27b.
  • the third impurity region 27a is formed in the step shown in FIG. 5F and the surface portion thereof is modified to the insulator region 47, and the insulator region 47 is changed in the step shown in FIG. Is removed.
  • the region doped with As at a high concentration is selectively removed from the third impurity region 27a formed in the step shown in FIG. 5F, so that the step shown in FIG.
  • the sheet resistance of the fourth impurity region 27b constituting the source / drain region 27 can be set equal to or lower than the sheet resistance of the third impurity region 37a. That is, the sheet resistance, specific resistance, or spreading resistance of the fourth impurity region 27b can be set equal to or less than the sheet resistance, specific resistance, or spreading resistance of the third impurity region 27a. Therefore, even if the ratio of the width of the fourth impurity region 27b formed on the side of the fin-type semiconductor region 13b to the width of the source / drain region 27 in the gate width direction becomes large, desired transistor characteristics can be obtained. Can do.
  • the features of this embodiment are as follows. That is, when the extension region 17 of the fin-type FET is formed by using the plasma doping method, the first impurity (for example, arsenic) that generates a donor level or an acceptor level in the semiconductor is removed by the plasma doping method. Then, a second impurity (for example, oxygen) for insulating the semiconductor is introduced into the upper portion of the fin-type semiconductor region 13b by a plasma doping method. Thus, after the surface portion of the first impurity region 17a formed above the fin-type semiconductor region 13b is modified to the insulator region 37, the insulator region 37 is removed.
  • the first impurity for example, arsenic
  • a second impurity for example, oxygen
  • a fin-type MISFET (a triple-gate FET in which the upper and both sides of the fin-type semiconductor region 13b function as a channel) having the extension region 17 can be obtained. Therefore, as the ratio of the height (height (thickness) c in FIG. 1A) to the width of the fin-type semiconductor region 13b (width a in the gate width direction in FIG. 1A) increases, the gate width increases. Since the width of the extension region 17 can be sufficiently secured in the direction, desired transistor characteristics can be obtained.
  • the normal plasma doping method can be used in the step of introducing each of the first impurity and the second impurity when forming the extension region 17, the introduction of each impurity is performed in a very short time (for example, 10 to 120 seconds). Degree). Further, since the process of removing the insulator region 37 is a normal etching process, it can be completed in a very short time (for example, about 5 to 30 seconds). Therefore, the total processing time can be remarkably shortened compared with the conventional case.
  • a first impurity for example, arsenic
  • a second impurity for example, oxygen
  • a fin-type MISFET a triple-gate FET in which the upper and both sides of the fin-type semiconductor region 13b function as a channel
  • the gate width increases. Since a sufficient width of the source / drain region 27 can be ensured in the direction, desired transistor characteristics can be obtained.
  • the normal plasma doping method can be used in the step of introducing each of the first impurity and the second impurity when forming the source / drain region 27, the introduction of each impurity is performed for a very short time (for example, 10 to 10). It can be completed in about 120 seconds). Further, since the process of removing the insulator region 47 is a normal etching process, it can be completed in a very short time (for example, about 5 to 30 seconds). Therefore, the total processing time can be remarkably shortened compared with the conventional case.
  • the n-type extension region 17 and the n-type source / drain region 27, that is, the n-type MISFET are formed by plasma-doping the n-type impurity in the p-type fin-type semiconductor region 13b.
  • a p-type extension region and a p-type source / drain region, that is, a p-type MISFET may be formed by doping a p-type impurity in an n-type fin semiconductor region.
  • the second impurity plasma doping is performed.
  • the plasma doping of the first impurity may be performed after the plasma doping of the second impurity.
  • plasma doping of the second impurity (that is, formation of the insulator region 47) may be omitted. In this case, it goes without saying that the step of removing the insulator region 47 is also unnecessary.
  • a case where dry etching using plasma composed of mixed cass of Ar and CF 4 is used is illustrated.
  • anisotropic etching using dry etching (sputter etching) with plasma made of a rare gas such as Ar may be performed.
  • the insulating regions 37 and 47 may be removed by wet etching, for example, by immersing the support substrate 11 in a hydrofluoric acid solution.
  • the second impurity (oxygen) that makes the semiconductor an insulator is not introduced into the second and fourth impurity regions 17b and 27b. Etching for the fourth impurity regions 17b and 27b does not proceed.
  • FIG. 6 shows an As concentration profile (one-dot chain line) in a silicon substrate into which As (arsenic) and O (oxygen) are introduced by plasma doping, respectively, and an insulator region formed by introducing O (oxygen).
  • 2 shows an As concentration profile (solid line) in a silicon substrate.
  • the depth of 0 nm on the horizontal axis corresponds to the surface of the silicon substrate after the insulator region is removed. That is, FIG. 6 shows a case where an insulating region having a thickness of 6 nm is formed, but it goes without saying that this is merely an example.
  • the insulating region is removed by wet etching, and the As concentration is measured by SIMS (Secondary Ion Mass Spectrometry).
  • As is introduced at a high concentration in a portion from the outermost surface of the silicon substrate (corresponding to a depth of the horizontal axis of ⁇ 6 nm) to a depth of about 6 nm.
  • As introduction dose is greatly reduced in the surface portion of the silicon substrate after wet etching.
  • B 2 H 6 diluted with He or He diluted as a source gas in the plasma doping of the first impurity that generates a donor level or an acceptor level in the semiconductor is not limited to this, and a mixed gas obtained by diluting a source gas containing the impurity (hereinafter referred to as an impurity source gas) with a rare gas can be used.
  • an impurity source gas a mixed gas obtained by diluting a source gas containing the impurity
  • B x H y , As x H y or P x H y (x and y are natural numbers) can be used as the impurity source gas.
  • these gases have the advantage that they contain only H, which has little influence even if mixed into the substrate as an impurity.
  • other gas containing B for example, BF 3 , BCl 3 , BBr 3, etc. can also be used, and other gas containing P, for example, PF 3 , PF 5 , PCl 3 , PCl 5 , POCl 3 or the like can also be used.
  • He, Ne, Ar, Kr, Xe, or the like can be used as a rare gas for dilution, but He is most suitable. The main reason for this is low sputterability.
  • the next preferred noble gas after He is Ne. Ne has the disadvantage that it has a slightly higher sputtering rate than He, but has the advantage of being easy to discharge at a low pressure.
  • the mass concentration of B 2 H 6 is preferably 0.01% or more and 1% or less. In this way, boron can be easily introduced into the fin-type semiconductor region. Conversely, when the B 2 H 6 gas concentration is less than 0.01%, it becomes difficult to introduce a sufficient amount of boron, and when the B 2 H 6 gas concentration is greater than 1%, the substrate Deposits containing boron are likely to adhere to the surface. It goes without saying that plasma doping may be performed using a solid impurity source without using the impurity source gas.
  • the method of introducing the second impurity for insulating the semiconductor into the upper portion of the fin-type semiconductor region by the plasma doping method is exemplified, but instead of this, the second impurity May be introduced into the upper portion of the fin-type semiconductor region by ion implantation.
  • the ion implantation method is used, processing with stronger anisotropy than the plasma doping method is possible, so that only the upper part of the fin type semiconductor region is insulated without making the side part of the fin type semiconductor region insulating. It becomes possible to materialize.
  • the case where oxygen is used as the second impurity for insulating the semiconductor is illustrated, but nitrogen may be used instead.
  • Silicon nitride obtained by introducing nitrogen into silicon is an insulator, similar to silicon oxide, and can be selectively removed by anisotropic dry etching and, for example, silicon in wet etching with a hydrofluoric acid solution. Similar to oxides, it has a high etching selectivity to silicon.
  • the second impurity is not limited to oxygen or nitrogen, but if the second impurity is oxygen or nitrogen, the introduction of the second impurity is performed using inexpensive and safe oxygen gas or nitrogen gas. This is advantageous in terms of cost and process.
  • the first impurity that generates a donor level or an acceptor level in the semiconductor is introduced into the upper and side portions of the fin-type semiconductor region, and then the second impurity that makes the semiconductor an insulator is added.
  • the method of introducing the upper part of the fin-type semiconductor region to form an insulator region and then removing the insulator region is illustrated.
  • the first impurity is introduced without introducing the second impurity.
  • the upper portion may be removed using an anisotropic removal reaction such as dry etching.
  • the sheet resistance, specific resistance, or spreading resistance of the impurity region formed on the side of the fin type semiconductor region is the sheet resistance, specific resistance, or spreading resistance of the impurity region formed on the fin type semiconductor region (after the removal process).
  • the semiconductor is insulated from the plasma doping apparatus (first plasma doping apparatus) used for plasma doping of the first impurity that generates a donor level or an acceptor level in the semiconductor.
  • the plasma doping apparatus (second plasma doping apparatus) used for plasma doping of the second impurity to be materialized may have the same configuration as the plasma doping apparatus shown in FIG.
  • the vacuum chambers (vacuum containers) as the entities of the plasma doping apparatus and the second plasma doping apparatus should be different. The reason is that it is possible to avoid a possibility that a reaction product generated when an organic substance (resist or the like) on the substrate is etched by oxygen plasma remains in the vacuum vessel and causes undesirable contamination.
  • Such a configuration can be realized by, for example, a plasma doping system shown in FIG.
  • the substrate put into the vacuum container 71a of the load lock chamber 71 is transferred to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum container 73a of the first plasma doping apparatus 73.
  • a first impurity that generates a donor level or an acceptor level in the semiconductor is introduced into the substrate.
  • the substrate is transferred again to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum vessel 74a of the second plasma doping apparatus 74, and a second impurity for insulating the semiconductor is introduced into the substrate.
  • the substrate is transferred again to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum container 71a of the load lock chamber 71 and taken out.
  • the vacuum chamber (vacuum container) as the substance of the dry etching apparatus should be different from the vacuum container as the substance of the first and second plasma doping apparatuses.
  • the reason is that reaction products generated when organic substances (resist, etc.) on the substrate are etched by etching plasma, and halogen elements such as fluorine remain in the vacuum vessel, which may cause undesirable contamination. This is because it can be avoided.
  • Such a configuration can be realized by, for example, a plasma doping system shown in FIG. As shown in FIG. 8, the substrate put into the vacuum container 71a of the load lock chamber 71 is transferred to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum container 73a of the first plasma doping apparatus 73.
  • a first impurity that generates a donor level or an acceptor level in the semiconductor is introduced into the substrate.
  • the substrate is transferred again to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum vessel 74a of the second plasma doping apparatus 74, and a second impurity for insulating the semiconductor is introduced into the substrate.
  • the substrate is transferred again to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum vessel 75a of the dry etching apparatus 75, and the insulating region formed on the upper portion of the fin type semiconductor region is removed by the dry etching process.
  • the substrate is again transferred to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum container 71a of the load lock chamber 71 and taken out.
  • the second impurity for introducing the semiconductor into the insulator is used. It goes without saying that an ion implantation apparatus to be used may be provided.
  • the first impurity that generates a donor level or an acceptor level in the semiconductor is introduced into the upper and side portions of the fin-type semiconductor region, and then the second impurity that makes the semiconductor an insulator is added.
  • the upper part of the fin type semiconductor region into which the first impurity has been introduced is removed using an anisotropic removal reaction such as dry etching without being introduced into the upper part of the fin type semiconductor region, for example, FIG.
  • the use of the plasma doping system shown in FIG. 9 is preferred. As shown in FIG. 9, the substrate put into the vacuum container 71a of the load lock chamber 71 is transferred to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum container 73a of the first plasma doping apparatus 73.
  • a first impurity that generates a donor level or an acceptor level in the semiconductor is introduced into the substrate. Thereafter, the substrate is transferred again to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum vessel 75a of the dry etching apparatus 75, and the insulating region formed on the upper portion of the fin type semiconductor region is removed by the dry etching process. The Thereafter, the substrate is transferred again to the transfer chamber 72 by the transfer arm 72a, and then transferred to the vacuum container 71a of the load lock chamber 71 and taken out.
  • FIG. 10 is a plan view of a semiconductor device according to a modification of the first embodiment of the present invention, specifically, a semiconductor device having a fin-type FET.
  • the same components as those of the first embodiment shown in FIGS. 1A to 1E are denoted by the same reference numerals, and redundant description is omitted.
  • this modified example is different from the first embodiment shown in FIGS. 1A to 1E in that both end portions in the gate length direction of each of the fin-type semiconductor regions 13a to 13d. Is connected by other fin type semiconductor regions 13e and 13f.
  • the same effect as that of the first embodiment can be obtained, and one fin-type FET can be configured by the fin-type semiconductor regions 13a to 13f. It goes without saying that similar modifications are possible for the second embodiment.
  • FIGS. 11A to 11D are diagrams showing the structure of a semiconductor device according to another modification of the first embodiment of the present invention, specifically, a semiconductor device having a fin-type FET.
  • the planar structure of the present modification is the same as the planar structure of the first embodiment shown in FIG. 11A is a cross-sectional view taken along line AA in FIG. 1A
  • FIG. 11B is a cross-sectional view taken along line BB in FIG. 1A
  • FIG. FIG. 11A is a cross-sectional view taken along a line CC in FIG. 1A
  • FIG. 11D is a cross-sectional view taken along a line DD in FIG.
  • the present modification is different from the first embodiment shown in FIGS. 1A to 1E as follows. That is, in the first embodiment, the gate insulating films 14a to 14d having a thickness of 3 nm made of, for example, a silicon oxynitride film are formed on the upper and side surfaces of the fin-type semiconductor regions 13a to 13d. On the other hand, in this modification, the gate insulating films 14a to 14d are formed only on the side surfaces of the fin type semiconductor regions 13a to 13d, and a silicon oxide film is formed on the upper surfaces of the fin type semiconductor regions 13a to 13d. Insulating films 24a to 24d having a thickness of 20 nm are formed.
  • a double gate FET is configured by using only both sides of the fin type semiconductor regions 13a to 13d as channel regions. Even in such a configuration, if the aspect ratio (“the height of the side surface of the fin-type semiconductor region” / “the width of the upper surface of the fin-type semiconductor region in the gate width direction”) is large, the same as in the first embodiment An effect is obtained.
  • the present invention relates to a semiconductor device, a manufacturing method thereof, and a plasma doping system, and is particularly useful for obtaining desired characteristics in a semiconductor device having a three-dimensional structure having a fin-type semiconductor region on a substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

 基板(11)上にフィン型半導体領域(13)が形成されている。フィン型半導体領域(13)の上部及び側部には、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が導入されていると共に、フィン型半導体領域(13)の上部及び側部には、第2の不純物として酸素又は窒素がさらに導入されている。

Description

半導体装置、その製造方法及びプラズマドーピングシステム
 この発明は、半導体装置、その製造方法及びプラズマドーピングシステムに関し、特に、基板上にフィン型半導体領域を有する3次元構造の半導体装置及びその製造方法に関するものである。
 近年、半導体装置の高集積化、高機能化及び高速化に伴って、益々半導体装置の微細化の要求が高まっている。そこで、基板上におけるトランジスタの占有面積の低減を目指して種々のデバイス構造が提案されている。その中でも、フィン型構造を持つ電界効果トランジスタが注目されている。このフィン型構造を持つ電界効果トランジスタは、一般的にフィン型FET(Fin-Field Effect Transistor)と呼ばれ、基板の主面に対して垂直な薄い壁(フィン)状の半導体領域(以下、フィン型半導体領域という)からなる活性領域を有している。フィン型FETにおいては、フィン型半導体領域の両側面をチャネル面として用いることができるため、基板上におけるトランジスタの占有面積を低減することができる(例えば特許文献1、非特許文献1参照)。
 図12(a)~(d)は、従来のフィン型FETの構造を示す図であり、図12(a)は平面図であり、図12(b)は図12(a)におけるA-A線の断面図であり、図12(c)は図12(a)におけるB-B線の断面図であり、図12(d)は図12(a)におけるC-C線の断面図である。
 従来のフィン型FETは、図12(a)~(d)に示すように、シリコンからなる支持基板101と、支持基板101上に形成された酸化シリコンからなる絶縁層102と、絶縁層102上に形成されたフィン型半導体領域103a~103dと、フィン型半導体領域103a~103d上にゲート絶縁膜104a~104dを介して形成されたゲート電極105と、ゲート電極105の側面上に形成された絶縁性サイドウォールスペーサ106と、フィン型半導体領域103a~103dにおけるゲート電極105を挟む両側方領域に形成されたエクステンション領域107と、フィン型半導体領域103a~103dにおけるゲート電極105及び絶縁性サイドウォールスペーサ106を挟む両側方領域に形成されたソース・ドレイン領域117とを有している。フィン型半導体領域103a~103dは、絶縁層102上においてゲート幅方向に一定間隔で並ぶように配置されている。ゲート電極105は、ゲート幅方向にフィン型半導体領域103a~103dを跨ぐように形成されている。エクステンション領域107は、フィン型半導体領域103a~103dのそれぞれの上部に形成された第1の不純物領域107aと、フィン型半導体領域103a~103dのそれぞれの側部に形成された第2の不純物領域107bとから構成されている。また、ソース・ドレイン領域117は、フィン型半導体領域103a~103dのそれぞれの上部に形成された第3の不純物領域117aと、フィン型半導体領域103a~103dのそれぞれの側部に形成された第4の不純物領域117bとから構成されている。尚、ポケット領域の説明及び図示については省略する。
 図13(a)~(d)は、従来の半導体装置の製造方法を工程順に示す断面図である。尚、図13(a)~(d)は、図12(a)におけるC-C線の断面構成と対応している。また、図13(a)~(d)において、図12(a)~(d)に示す構造と同一の構成要素には同一の符号を付し、重複する説明を省略する。
 まず、図13(a)に示すように、シリコンからなる支持基板101上に酸化シリコンからなる絶縁層102を介してシリコンからなる半導体層が設けられたSOI(Silicon On Insulator)基板を準備する。その後、当該半導体層をパターニングして、活性領域となるフィン型半導体領域103bを形成する。
 次に、図13(b)に示すように、フィン型半導体領域103bの表面にゲート絶縁膜104を形成した後、支持基板102上の全面に亘ってポリシリコン膜105Aを形成する。
 次に、図13(c)に示すように、ポリシリコン膜105A及びゲート絶縁膜104を順次エッチングして、フィン型半導体領域103b上にゲート絶縁膜104bを介してゲート電極105を形成する。その後、ゲート電極105をマスクとして、半導体領域103bに不純物をイオン注入して、エクステンション領域107及びポケット領域(図示省略)を形成する。
 次に、図13(d)に示すように、支持基板102上の全面に亘って絶縁膜を形成した後、異方性ドライエッチングを用いて当該絶縁膜をエッチバックすることにより、ゲート電極105の側面上に絶縁性サイドウォールスペーサ106を形成する。その後、ゲート電極105及び絶縁性サイドウォールスペーサ106をマスクとして、半導体領域103bに不純物をイオン注入して、ソース・ドレイン領域117を形成する。
 以上の工程により、フィン型半導体領域103b上にゲート絶縁膜104bを介して形成されたゲート電極105を有するフィン型MISFET(Metal Insulator Semiconductor Field Effect Transistor)を得ることができる。
 図14(a)は、特許文献1におけるフィン型FETのエクステンション領域を形成する工程を示した断面図であり、図14(b)は、非特許文献1におけるフィン型FETのエクステンション領域を形成する工程を示した断面図である。尚、図14(a)及び(b)は、図12(a)におけるB-B線の断面構成(絶縁性サイドウォールスペーサ106の形成前)と対応している。また、図14(a)及び(b)において、図12(a)~(d)に示す構造と同一の構成要素には同一の符号を付し、重複する説明を省略する。
 図14(a)に示すように、特許文献1に開示された方法においては、フィン型半導体領域103a~103dの上面のみならず側面にも不純物を導入するために、イオン注入によってイオン108a及び108bをそれぞれ、鉛直方向に対して互いに異なる側に傾いた注入角度でフィン型半導体領域103a~103dに注入することによって、エクステンション領域107を形成する。この場合、フィン型半導体領域103a~103dの上部には、イオン108a及びイオン108bの両方が注入されてなる第1の不純物領域107aが形成される。しかしながら、フィン型半導体領域103a~103dの各側部には、イオン108a又はイオン108bのいずれか一方のみが注入されてなる第2の不純物領域107bが形成される。すなわち、イオン108aのドーズ量とイオン108bのドーズ量とが同じである場合、第1の不純物領域107aの注入ドーズ量は、第2の不純物領域107bの注入ドーズ量の2倍の大きさになる。
 また、図14(b)に示すように、非特許文献1に開示された方法においては、プラズマドーピング法を用いてフィン型半導体領域103a~103dにエクステンション領域107を形成する。プラズマドーピング法を用いて不純物導入を行った場合、導入イオン109aと、吸着種(ガス分子やラジカル等の中性種)109bと、スパッタリングによってフィン型半導体領域103a~103dを離脱する不純物109cとのバランスによって決まる導入ドーズ量を持つ第1の不純物領域107aがフィン型半導体領域103a~103dの上部に形成される。しかしながら、フィン型半導体領域103a~103dの各側部の導入ドーズ量については、導入イオン109aやスパッタリングによる離脱不純物109cの影響は小さく、主として吸着種109bによって決まる導入ドーズ量を持つ第2の不純物領域107bがフィン型半導体領域103a~103dの側部に形成される。その結果、第1の不純物領域107aの導入ドーズ量は、第2の不純物領域107bの導入ドーズ量と比べて例えば25%程度高くなる。
 以上のように、従来のフィン型FETのエクステンション領域の形成方法によると、フィン型半導体領域103a~103dの上部に形成される第1の不純物領域107aの導入ドーズ量が、フィン型半導体領域103a~103dの側部に形成される第2の不純物領域107bの導入ドーズ量と比べて高くなる。また、第2の不純物領域107bの接合深さは、第1の不純物領域107aの接合深さと比べて浅くなる。これにより、第1の不純物領域107aのシート抵抗、比抵抗又は拡がり抵抗は、第2の不純物領域107bのシート抵抗、比抵抗又は拡がり抵抗と比べて低くなる。尚、対象物のシート抵抗をRs、抵抗率(比抵抗)をρ、厚さ(接合深さ)をt、拡がり抵抗をρwとすると、Rs=ρ/tである。また、拡がり抵抗測定において広く知られている関係式ρw=CF×k×ρ/(2πr)に表されているように、抵抗率(比抵抗)ρと拡がり抵抗ρWとは基本的には1対1の関係にあるので、Rs∝ρw/tと表せる。前記関係式において、CFは拡がり抵抗ρwの体積効果を考慮した補正項(補正無しの場合にはCF=1)であり、kは探針と試料との間のショットキー障壁における極性依存性を考慮した補正項(例えば試料がp型シリコンの場合にはk=1、試料がn型シリコンの場合にはk=1~3)であり、rは探針先端の曲率半径である。
 このようなエクステンション構造を有するフィン型FETを動作させた場合、エクステンション領域107を流れる電流は、第2の不純物領域107bと比べて導入ドーズ量が高い(つまりシート抵抗が低い)第1の不純物領域107aに集中するため、所望のトランジスタ特性が得られないという問題が生じる。
 また、従来のフィン型FETでは、ソース・ドレイン領域もエクステンション領域と同様のイオン注入法やプラズマドーピング法を用いて形成される。そのため、ソース・ドレイン領域117においても、フィン型半導体領域103a~103dの上部に形成される第3の不純物領域117aの導入ドーズ量が、フィン型半導体領域103a~103dの側部に形成される第4の不純物領域117bの導入ドーズ量と比べて高くなる。また、第4の不純物領域117bの接合深さは、第3の不純物領域117aの接合深さと比べて浅くなる。このようなソース・ドレイン構造を有するフィン型FETを動作させた場合、ソース・ドレイン領域117を流れる電流は、第4の不純物領域117bと比べて導入ドーズ量が高い(つまりシート抵抗が低い)第3の不純物領域117aに集中するため、所望のトランジスタ特性が得られないという問題が生じる。
特開2006-196821号公報 国際公開第2008/090771号公報
D.Lenoble他、Enhanced performance of PMOS MUGFET via integration of conformal plasma-doped source/drain extensions、2006 Symposium on VLSI Technology Digest of Technical Papers、p.212
 前述の問題を解決するために、例えば特許文献2に、フィン型半導体領域の側部に、フィン型半導体領域の上部と比べて同等以上の導入ドーズ量を有する不純物領域を備えた半導体装置及びその製造方法が提案されている。特許文献2に開示されている方法によれば、フィン型半導体領域に不純物をプラズマドーピング法によって導入し、それにより、フィン型半導体領域の上部に第1の不純物領域を形成すると共にフィン型半導体領域の側部に第2の不純物領域を形成する工程において、導入ドーズ量が第1のドーズ量となる第1の条件でプラズマドーピング法を実施した後、導入ドーズ量が第1のドーズ量よりも小さい第2のドーズ量となる第2の条件でプラズマドーピング法を実施する。これにより、フィン型半導体領域の上部と比べて同等以上の導入ドーズ量を有する不純物領域を備えた半導体装置、つまり所望のトランジスタ特性を持つ半導体装置を得ることが可能となる。
 しかしながら、特許文献2に開示されている方法によると、ある程度不純物の導入が進展した後にプラズマドーピング処理条件を変化させ、その後、導入ドーズ量が第2のドーズ量に十分漸近するまでプラズマドーピング処理を行う必要があるので、処理時間が増大してしまう場合がある。
 前記に鑑み、本発明は、フィン型半導体領域を有する半導体装置においてプラズマドーピング法を用いて所望の特性が得られるようにすると共に当該所望の特性が得られるまでの処理時間を低減することを目的としている。
 前記の目的を達成するために、本発明に係る第1の半導体装置の製造方法は、基板上にフィン型半導体領域を形成する工程(a)と、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって前記フィン型半導体領域の上部及び側部に導入する工程(b)と、第2の不純物として酸素又は窒素を前記フィン型半導体領域の上部及び側部に導入する工程(c)とを備えている。
 本発明に係る第1の半導体装置の製造方法によると、フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物を導入すると共に、フィン型半導体領域の上部及び側部に、第2の不純物として酸素又は窒素、つまり半導体を絶縁物化する不純物をさらに導入する。このとき、フィン型半導体領域の側部と比較してフィン型半導体領域の上部に第2の不純物がより多く導入されるようにすれば、フィン型半導体領域の側部の抵抗(比抵抗、シート抵抗又は拡がり抵抗:以下同じ)を、フィン型半導体領域の上部の抵抗と比べて同等以下に設定することができる。また、半導体を絶縁物化する第2の不純物の導入により、フィン型半導体領域の上部の抵抗を高くするのに必要な処理時間(工程(c)に要する時間)は短くて済む。従って、良好な特性をもつFET等の3次元デバイスをスループット良く実現することができる。
 尚、工程(b)を工程(c)の前に実施してもよいし、工程(b)を工程(c)の後に実施してもよい。
 また、フィン型半導体領域の側部における第2の不純物の導入量は、エクステンション領域やソース・ドレイン領域の特性に影響が生じない程度の導入量である。
 また、半導体を絶縁物化する第2の不純物としては、例えば半導体がSiであれば、Siに導入されて絶縁物(SiOやSiN)を形成する酸素又は窒素を用いることが好ましいが、これに限定されないことは言うまでもない。
 本発明に係る第1の半導体装置の製造方法において、前記工程(c)では、プラズマドーピング法を用いてもよいし、又は、イオン注入法を用いてもよい。
 本発明に係る第1の半導体装置の製造方法において、前記工程(b)及び前記工程(c)の両工程が終了した後に、前記フィン型半導体領域の上部を除去する工程(d)をさらに備えていてもよい。このようにすると、フィン型半導体領域の上部に第2の不純物が導入されることにより形成された絶縁物領域を除去することができるので、フィン型半導体領域の上部及び両側部がチャネルとして機能するトリプルゲート型FETを構成することができる。この場合、前記工程(d)でウェットエッチング法を用いると、半導体を絶縁物化する第2の不純物の導入量の多い部分のみを、エッチング時間とは無関係に正確に除去することができる。また、前記工程(d)でドライエッチング法を用いると、ゲート絶縁膜に対する横方向のエッチング(ゲート絶縁膜の側面からのエッチング)が進行する事態を回避することができる。
 本発明に係る第1の半導体装置の製造方法において、前記工程(b)及び前記工程(c)の両工程が終了した時点で、前記フィン型半導体領域の側部の抵抗は、前記フィン型半導体領域の上部の抵抗と比べて同等以下であってもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第1の半導体装置の製造方法において、前記工程(a)の後で且つ前記工程(b)及び前記工程(c)の両工程の前に、前記半導体領域の所定の部分における少なくとも側面上にゲート絶縁膜を形成する工程(e)と、前記ゲート絶縁膜上にゲート電極を形成する工程(f)とをさらに備え、前記工程(b)及び前記工程(c)では、前記第1の不純物及び前記第2の不純物を、前記ゲート電極の外側に位置する前記フィン型半導体領域に導入してもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第1の半導体装置の製造方法において、前記第1の不純物はボロン、リン又は砒素であってもよい。このようにすると、前述の本発明の効果を確実に得ることができる。
 尚、本発明に係る第1の半導体装置の製造方法において、前記第2の不純物が酸素又は窒素であると、前記第2の不純物の導入を、安価且つ安全な酸素ガスや窒素ガスを用いて行うことができるので、コスト面及びプロセス面で有利である。
 本発明に係る第2の半導体装置の製造方法は、基板上にフィン型半導体領域を形成する工程(a)と、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって前記フィン型半導体領域の上部及び側部に導入する工程(b)と、前記工程(b)よりも後に、前記フィン型半導体領域の上部を除去する工程(c)とを備えている。
 本発明に係る第2の半導体装置の製造方法によると、フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物を導入した後、フィン型半導体領域の上部を除去する。このため、フィン型半導体領域の上部における第1の不純物の高濃度導入層を除去して、第1の不純物の低濃度導入層を残存させることができる。このため、フィン型半導体領域の側部の抵抗を、フィン型半導体領域の上部の抵抗と比べて同等以下に設定することができる。また、フィン型半導体領域の上部を除去するのに必要な処理時間(工程(c)に要する時間)は短くて済む。従って、良好な特性をもつFET等の3次元デバイスをスループット良く実現することができる。
 本発明に係る第2の半導体装置の製造方法において、前記工程(c)ではドライエッチング法を用いてもよい。このようにすると、ゲート絶縁膜に対する横方向のエッチング(ゲート絶縁膜の側面からのエッチング)が進行する事態を回避することができる。
 本発明に係る第2の半導体装置の製造方法において、前記工程(c)が終了した時点で、前記フィン型半導体領域の側部の抵抗は、前記フィン型半導体領域の上部の抵抗と比べて同等以下であってもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第2の半導体装置の製造方法において、前記工程(a)の後で且つ前記工程(b)の前に、前記半導体領域の所定の部分における少なくとも側面上にゲート絶縁膜を形成する工程(d)と、前記ゲート絶縁膜上にゲート電極を形成する工程(e)とをさらに備え、前記工程(b)では、前記第1の不純物を、前記ゲート電極の外側に位置する前記フィン型半導体領域に導入してもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第2の半導体装置の製造方法において、前記第1の不純物はボロン、リン又は砒素であってもよい。このようにすると、前述の本発明の効果を確実に得ることができる。
 本発明に係る第1又は第2の半導体装置の製造方法において、前記フィン型半導体領域は、前記基板上に形成された絶縁層上に形成されていてもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第1又は第2の半導体装置の製造方法において、前記フィン型半導体領域はシリコンから構成されていてもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第1の半導体装置は、基板上に形成されたフィン型半導体領域を備えた半導体装置であって、前記フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が導入されていると共に、前記フィン型半導体領域の上部及び側部に、第2の不純物として酸素又は窒素がさらに導入されている。
 本発明に係る第1の半導体装置によると、フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が導入されていると共に、フィン型半導体領域の上部及び側部に、第2の不純物として酸素又は窒素、つまり半導体を絶縁物化する不純物がさらに導入されている。このとき、フィン型半導体領域の側部と比較してフィン型半導体領域の上部に第2の不純物がより多く導入されていれば、フィン型半導体領域の側部の抵抗を、フィン型半導体領域の上部の抵抗と比べて同等以下に設定することができる。また、半導体を絶縁物化する第2の不純物の導入により、フィン型半導体領域の上部の抵抗を高くするのに必要な処理時間は短くて済む。従って、良好な特性をもつFET等の3次元デバイスをスループット良く実現することができる。
 尚、フィン型半導体領域の側部における第2の不純物の導入量は、エクステンション領域やソース・ドレイン領域の特性に影響が生じない程度の導入量である。
 また、半導体を絶縁物化する第2の不純物としては、例えば半導体がSiであれば、Siに導入されて絶縁物(SiOやSiN)を形成する酸素又は窒素を用いることが好ましいが、これに限定されないことは言うまでもない。
 本発明に係る第1の半導体装置において、前記フィン型半導体領域の側部の抵抗は、前記フィン型半導体領域の上部の抵抗と比べて同等以下であってもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第1の半導体装置において、前記フィン型半導体領域の上部には、前記第2の不純物が導入されることにより絶縁物領域が形成されていてもよい。この場合、フィン型半導体領域の両側部のみがチャネルとして機能するダブルゲート型FETが構成される。但し、第2の不純物が導入されてなる絶縁物領域を除去してフィン型半導体領域の上部をチャネルとして機能させることにより、トリプルゲート型FETを構成してもよい。すなわち、絶縁物領域の除去により、フィン型半導体領域の上部における第1の不純物の高濃度導入層も除去されるが、絶縁物領域の下側に第1の不純物の低濃度導入層が残存していれば、トリプルゲート型FETを構成することができる。ここで、フィン型半導体領域の上部に残存する第1の不純物の低濃度導入層の抵抗と比べて、フィン型半導体領域の側部における第1の不純物の導入層の抵抗が同等以下であることが好ましい。また、フィン型半導体領域の上部に残存する第1の不純物の低濃度導入層に、エクステンション領域やソース・ドレイン領域の特性に影響が生じない程度の第2の不純物が含まれていてもよい。
 本発明に係る第1の半導体装置において、前記フィン型半導体領域は、前記基板上に形成された絶縁層上に形成されていてもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。
 本発明に係る第1の半導体装置において、前記フィン型半導体領域の所定の部分における少なくとも側面上に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極とをさらに備え、前記第1の不純物及び前記第2の不純物は、前記ゲート電極の外側に位置する前記フィン型半導体領域に導入されていてもよい。このようにすると、より良好な特性をもつFET等の3次元デバイスを実現することができる。この場合、前記ゲート電極の外側に位置する前記フィン型半導体領域の側部には、前記第1の不純物が導入されることによりエクステンション領域が形成されていると特に有効である。また、前記ゲート電極の側面上に形成された絶縁性サイドウォールスペーサをさらに備え、前記エクステンション領域は、前記フィン型半導体領域における前記絶縁性サイドウォールスペーサにより覆われている部分に形成されており、前記絶縁性サイドウォールスペーサの外側に位置する前記フィン型半導体領域の側部には、前記第1の不純物が導入されることによりソース・ドレイン領域が形成されていることがより好適である。
 本発明に係る第1の半導体装置において、前記フィン型半導体領域はシリコンから構成されていてもよい。また、前記第1の不純物はボロン、リン又は砒素であってもよい。このようにすると、前述の本発明の効果を確実に得ることができる。
 尚、本発明に係る第1の半導体装置において、前記第2の不純物が酸素又は窒素であると、前記第2の不純物の導入を、安価且つ安全な酸素ガスや窒素ガスを用いて行うことができるので、コスト面及びプロセス面で有利である。
 本発明に係る第1のプラズマドーピングシステムは、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入する第1のプラズマドーピング装置と、第2の不純物として酸素又は窒素をプラズマドーピング法によって前記被処理体に導入する第2のプラズマドーピング装置とを備えている。
 本発明に係る第1のプラズマドーピングシステムによると、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入することができると共に、第2の不純物として酸素又は窒素、つまり半導体を絶縁物化する不純物をプラズマドーピング法によって被処理体に導入することができる。従って、本発明に係る第1の半導体装置の製造方法を実施することができる。
 本発明に係る第2のプラズマドーピングシステムは、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入するプラズマドーピング装置と、第2の不純物として酸素又は窒素をイオン注入法によって前記被処理体に導入するイオン注入装置とを備えている。
 本発明に係る第2のプラズマドーピングシステムによると、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入することができると共に、第2の不純物として酸素又は窒素、つまり半導体を絶縁物化する不純物をイオン注入法によって被処理体に導入することができる。従って、本発明に係る第1の半導体装置の製造方法を実施することができる。
 本発明に係る第1又は第2のプラズマドーピングシステムにおいて、前記被処理体に対してドライエッチングを行うドライエッチング装置をさらに備えていてもよい。このようにすると、本発明に係る第1の半導体装置の製造方法を実施する際に、第1及び第2の不純物の導入後にフィン型半導体領域の上部を除去することができる。
 本発明に係る第3のプラズマドーピングシステムは、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入するプラズマドーピング装置と、前記被処理体に対してドライエッチングを行うドライエッチング装置とを備えている。
 本発明に係る第3のプラズマドーピングシステムによると、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入することができると共に、被処理体に対してドライエッチングを行うことができる。従って、本発明に係る第2の半導体装置の製造方法を実施することができる。
 本発明に係る第3の半導体装置の製造方法は、基板上にフィン型半導体領域を形成する工程(a)と、半導体にドナー準位又はアクセプタ準位を生成する不純物、及び酸素をプラズマドーピング法によって前記フィン型半導体領域の上部及び側部に導入する工程(b)とを備えている。
 本発明に係る第3の半導体装置の製造方法によると、本発明に係る第1の半導体装置の製造方法と同様の効果を得ることができる。
 本発明に係る第2の半導体装置は、基板上に形成されたフィン型半導体領域を備えた半導体装置であって、前記フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する不純物、及び酸素が導入されている。
 本発明に係る第2の半導体装置によると、本発明に係る第1の半導体装置と同様の効果を得ることができる。
 本発明に係る第4のプラズマドーピングシステムは、半導体にドナー準位又はアクセプタ準位を生成する不純物、及び酸素をプラズマドーピング法によって被処理体に導入するプラズマドーピングシステムである。
 本発明に係る第4のプラズマドーピングシステムによると、本発明に係る第1のプラズマドーピングシステムと同様の効果を得ることができる。
 本発明によれば、プラズマドーピング法を用いてフィン型半導体領域の側部に低抵抗の不純物領域を形成して所望の特性を得ることができると共に、当該所望の特性が得られるまでの処理時間を低減することができる。
図1(a)~(e)は、本発明の第1の実施形態に係る半導体装置、具体的には、フィン型FETを有する半導体装置の構造を示す図であり、図1(a)は平面図であり、図1(b)は図1(a)におけるA-A線の断面図であり、図1(c)は図1(a)におけるB-B線の断面図であり、図1(d)は図1(a)におけるC-C線の断面図であり、図1(e)は図1(a)におけるD-D線の断面図である。 図2(a)~(e)は、本発明の第1の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。 図3は、本発明の第1及び第2の実施形態に係る半導体装置の製造方法で用いたプラズマドーピング装置又はドライエッチング装置の構成を示す断面図である。 図4(a)~(c)は、本発明の第2の実施形態に係る半導体装置、具体的には、フィン型FETを有する半導体装置の構造を示す図であり、図4(a)は図1(a)におけるB-B線の断面図であり、図4(b)は図1(a)におけるC-C線の断面図であり、図4(c)は図1(a)におけるD-D線の断面図である。 図5(a)~(g)は、本発明の第2の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。 図6は、本発明の第2の実施形態に係る半導体装置の製造方法においてAs(砒素)とO(酸素)とをそれぞれプラズマドーピングにより導入したシリコン基板におけるAs濃度プロファイル(一点鎖線)と、O(酸素)の導入により形成された絶縁物領域を除去した後のシリコン基板におけるAs濃度プロファイル(実線)とを示す図である。 図7は、本発明の第1及び第2の実施形態に係る半導体装置の製造方法において用いるプラズマドーピングシステムの構成例を示す平面図である。 図8は、本発明の第2の実施形態に係る半導体装置の製造方法において用いるプラズマドーピングシステムの構成例を示す平面図である。 図9は、本発明の第2の実施形態の変形例に係る半導体装置の製造方法において用いるプラズマドーピングシステムの構成例を示す平面図である。 図10は、本発明の第1の実施形態の一変形例に係る半導体装置の平面図である。 図11(a)~(d)は、本発明の第1の実施形態の他の変形例に係る半導体装置の構造を示す図であり、図11(a)は図1(a)におけるA-A線の断面図であり、図11(b)は図1(a)におけるB-B線の断面図であり、図11(c)は図1(a)におけるC-C線の断面図であり、図11(d)は図1(a)におけるD-D線の断面図である。 図12(a)~(d)は、従来のフィン型FETの構造を示す図であり、図12(a)は平面図であり、図12(b)は図12(a)におけるA-A線の断面図であり、図12(c)は図12(a)におけるB-B線の断面図であり、図12(d)は図12(a)におけるC-C線の断面図である。 図13(a)~(d)は、従来の半導体装置の製造方法を工程順に示す断面図である。 図14(a)は、特許文献1におけるフィン型FETのエクステンション領域を形成する工程を示した断面図であり、図14(b)は、非特許文献1におけるフィン型FETのエクステンション領域を形成する工程を示した断面図である。
 (第1の実施形態)
 以下、本発明の第1の実施形態に係る半導体装置及びその製造方法について、図面を参照しながら説明する。
 図1(a)~(e)は、本実施形態に係る半導体装置、具体的には、フィン型FETを有する半導体装置の構造を示す図であり、図1(a)は平面図であり、図1(b)は図1(a)におけるA-A線の断面図であり、図1(c)は図1(a)におけるB-B線の断面図であり、図1(d)は図1(a)におけるC-C線の断面図であり、図1(e)は図1(a)におけるD-D線の断面図である。
 本実施形態のフィン型FETは、図1(a)~(e)に示すように、例えばシリコンからなる支持基板11と、支持基板11上に形成された例えば酸化シリコンからなる絶縁層12と、絶縁層12上に形成され且つ例えばシリコンからなるフィン型半導体領域13a~13dと、フィン型半導体領域13a~13d上に例えばシリコン酸窒化膜からなるゲート絶縁膜14a~14dを介して形成されたゲート電極15と、ゲート電極15の側面上に形成された絶縁性サイドウォールスペーサ16と、フィン型半導体領域13a~13dにおけるゲート電極15を挟む両側方領域に形成されたエクステンション領域17と、フィン型半導体領域13a~13dにおけるゲート電極15及び絶縁性サイドウォールスペーサ16を挟む両側方領域に形成されたソース・ドレイン領域27とを有している。各フィン型半導体領域13a~13dは、ゲート幅方向の幅aが例えば30nm程度であり、ゲート長方向の幅bが例えば200nm程度であり、高さ(厚さ)cが例えば50nm程度であり、絶縁層12上においてゲート幅方向にピッチd(例えば60nm程度)で並ぶように配置されている。
 尚、フィン型半導体領域13a~13dの上面と側面とは互いに垂直であってもよいし、垂直でなくてもよい。ゲート電極15は、ゲート幅方向にフィン型半導体領域13a~13dを跨ぐように形成されている。エクステンション領域17は、絶縁性サイドウォールスペーサ16により覆われているフィン型半導体領域13a~13dの側部に形成されている。また、ソース・ドレイン領域27は、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13a~13dの側部に形成されている。尚、ポケット領域の説明及び図示については省略する。
 本実施の形態の特徴は次の通りである。すなわち、フィン型半導体領域13a~13dの上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えばボロン)が導入されていると共に、フィン型半導体領域13a~13dの上部には、半導体を絶縁物化する第2の不純物(例えば酸素)がさらに導入されている。これにより、フィン型半導体領域13a~13dの側部のシート抵抗は、フィン型半導体領域13a~13dの上部のシート抵抗と比べて同等以下に設定されている。
 具体的には、図1(c)及び(d)に示すように、絶縁性サイドウォールスペーサ16により覆われているフィン型半導体領域13a~13dの上部には、第2の不純物が導入されることにより絶縁物領域37が形成されていると共に、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13a~13dの上部には、第2の不純物が導入されることにより絶縁物領域47が形成されている。
 また、図1(c)及び(d)に示すように、絶縁性サイドウォールスペーサ16により覆われているフィン型半導体領域13a~13dの側部には、第1の不純物が導入されることによりエクステンション領域17となる不純物領域が形成されていると共に、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13a~13dの側部には、第1の不純物が導入されることによりソース・ドレイン領域27となる不純物領域が形成されている。
 以上のように、本実施形態では、フィン型半導体領域13a~13dの両側部のみがチャネルとして機能するダブルゲート型FETが構成される。すなわち、フィン型半導体領域13a~13dの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてエクステンション領域17やソース・ドレイン領域27の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 尚、エクステンション領域17やソース・ドレイン領域27の特性に影響が生じない程度の第2の不純物がフィン型半導体領域13a~13dの側部に導入されていても良い。
 また、以上の説明においては、フィン型半導体領域13a~13dの側部(エクステンション領域17やソース・ドレイン領域27)のシート抵抗を、フィン型半導体領域13a~13dの上部(絶縁物領域37及び47)のシート抵抗と比べて同等以下に設定した。しかし、これに代えて、フィン型半導体領域13a~13dの側部(エクステンション領域17やソース・ドレイン領域27)の比抵抗又は拡がり抵抗を、フィン型半導体領域13a~13dの上部(絶縁物領域37及び47)の比抵抗又は拡がり抵抗と比べて同等以下に設定しても、同様の効果が得られる。ここで、対象物のシート抵抗をRs、抵抗率(比抵抗)をρ、厚さ(接合深さ)をt、拡がり抵抗をρwとすると、Rs=ρ/tである。また、抵抗率(比抵抗)ρと拡がり抵抗ρWとは基本的には1対1の関係にあるので、Rs∝ρw/tと表せる。以下の説明においては、主として「シート抵抗」を用いて説明を行うが、抵抗の大小関係については「シート抵抗」を「比抵抗」又は「拡がり抵抗」と読み替えてもよい。
 以下、本発明の第1の実施形態に係る半導体装置の製造方法について、図面を参照しながら説明する。
 図2(a)~(e)は、本実施形態に係る半導体装置の製造方法を工程順に示す断面図である。尚、図2(a)~(e)は、図1(a)におけるD-D線の断面構成と対応している。
 まず、図2(a)に示すように、例えばシリコンからなる厚さ800μmの支持基板11上に例えば酸化シリコンからなる厚さ150nmの絶縁層12を介して例えばシリコンからなる厚さ50nmの半導体層が設けられたSOI基板を準備する。その後、当該半導体層をパターニングして、活性領域となるn型のフィン型半導体領域13bを形成する。ここで、フィン型半導体領域13bは、ゲート幅方向の幅aが例えば30nm程度であり、ゲート長方向の幅bが例えば200nm程度であり、高さ(厚さ)cが例えば50nm程度であり、隣接する他のフィン型半導体領域とピッチd(例えば60nm程度)で並ぶように配置される。
 次に、図2(b)に示すように、フィン型半導体領域13bの表面に例えばシリコン酸窒化膜からなる厚さ3nmのゲート絶縁膜14を形成した後、支持基板12上の全面に亘って例えば厚さ60nmのポリシリコン膜15Aを形成する。
 次に、図2(c)に示すように、ポリシリコン膜15A及びゲート絶縁膜14を順次エッチングして、フィン型半導体領域13b上にゲート絶縁膜14bを介して例えばゲート長方向の幅が60nmのゲート電極15を形成する。
 その後、ゲート電極15をマスクとして、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えばボロン)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入する。これにより、フィン型半導体領域13bの上部にp型の第1の不純物領域7aが形成されると共にフィン型半導体領域13bの側部にp型の第2の不純物領域7bが形成される。
 このとき、第1の不純物領域7aは、第2の不純物領域7bと比べて導入ドーズ量が大きくなるように形成される。この理由は以下の通りである(従来例を示す図14(b)参照)。プラズマドーピング法を用いて不純物導入を行った場合、導入イオン109aと、吸着種(ガス分子やラジカル等の中性種)109bと、スパッタリングによってフィン型半導体領域103a~103dを離脱する不純物109cとのバランスによって決まる導入ドーズ量を持つ第1の不純物領域107aがフィン型半導体領域103a~103dの上部に形成される。一方、フィン型半導体領域103a~103dの各側部の導入ドーズ量については、導入イオン109aやスパッタリングによる離脱不純物109cの影響は小さく、主として吸着種109bによって決まる導入ドーズ量を持つ第2の不純物領域107bがフィン型半導体領域103a~103dの側部に形成される。その結果、第1の不純物領域107aの導入ドーズ量は、第2の不純物領域107bの導入ドーズ量と比べて例えば25%程度高くなる。
 また、第1及び第2の不純物領域7a及び7bを形成するためのプラズマドーピング処理は、例えば図3に示すプラズマドーピング装置を用いて行うことができる。図3に示すプラズマドーピング装置においては、真空容器51内に、ガス供給装置52から所定のガスを導入しつつ、排気装置としてのターボ分子ポンプ53により排気を行い、調圧弁54により真空容器51内を所定の圧力に保つことができる。また、高周波電源55により例えば13.56MHzの高周波電力を、試料電極56に対向した誘電体窓57の近傍に設けられたコイル58に供給することにより、真空容器51内に誘導結合型プラズマを発生させることができる。試料としての基板59は試料電極56上に載置される。また、試料電極56に高周波電力を供給するための高周波電源60が設けられており、高周波電源50は、試料としての基板59がプラズマに対して負の電位をもつように、試料電極56の電位を制御する電圧源として機能する。このようにして、プラズマ中のイオンを試料(基板59)の表面に向かって加速し衝突させて試料の表面を非晶質化したり、不純物を導入したりすることができる。
 尚、ガス供給装置52から供給されたガスは、排気口61からターボ分子ポンプ53へ排気される。ターボ分子ポンプ53及び排気口61は、試料電極56の直下に配置されており、また、調圧弁54は、試料電極56の直下で且つターボ分子ポンプ53の直上に位置する昇降弁である。試料電極56は、4本の支柱62(うち2本の支柱62が図示されている)により、真空容器51に固定されている。
 また、第1及び第2の不純物領域7a及び7bを形成するためのプラズマドーピング条件は、例えば、原料ガスがHe(ヘリウム)で希釈したB(ジボラン)であり、原料ガス中でのジボラン濃度が0.05質量%であり、原料ガスの総流量が420cc/min(標準状態)であり、チャンバ内圧力が0.9Paであり、コイルに供給する高周波電力が2000Wであり、試料電極に供給する高周波電力が135Wであり、基板温度が20℃である。
 次に、ゲート電極15をマスクとして、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、図2(d)に示すように、フィン型半導体領域13bの上部に絶縁物領域37が形成される。このとき、エクステンション領域やソース・ドレイン領域の特性を劣化させない程度の第2の不純物がフィン型半導体領域13bの側部に導入されてもよい。その場合、図2(c)に示す工程でフィン型半導体領域13bの側部に形成されたp型の第2の不純物領域7bが改質され、p型の第2の不純物領域17bとなる。このp型の第2の不純物領域17bは、絶縁性サイドウォールスペーサ16(図2(e)参照)により覆われるフィン型半導体領域13bの側部においてエクステンション領域17となる(図1(c)参照)。
 本実施形態では、エクステンション領域17を構成する第2の不純物領域17bのシート抵抗を、フィン型半導体領域13b上部の絶縁物領域37のシート抵抗よりも小さくすることができる。すなわち、絶縁物領域37のシート抵抗、比抵抗又は拡がり抵抗と比べて、第2の不純物領域17bのシート抵抗、比抵抗又は拡がり抵抗をより小さくすることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてエクステンション領域17の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 ここで、半導体を絶縁物化する第2の不純物である酸素のプラズマドーピングには、例えば前述の図3に示すプラズマドーピング装置を用いることができる。また、その際のプラズマドーピング条件は、例えば、原料ガスがO(酸素)であり、原料ガスの流量が50cc/min(標準状態)であり、チャンバ内圧力が0.5Paであり、コイルに供給する高周波電力が2000Wであり、試料電極に供給する高周波電力が800Wであり、基板温度が20℃である。このように、試料電極に比較的高い高周波電力を供給して酸素のドーピングを行う場合、異方性のドーピングが生じ、基板主面に垂直な方向に対して選択的にドーピングが進行する。従って、フィン型半導体領域13bの側部の第2の不純物領域17bにはほとんど酸素がドーピングされない。
 尚、酸素のドーズ量については、絶縁物領域37の形成範囲(基板上面から深さ数nm程度まで)において酸素の原子密度がシリコンの原子密度(約5.0×1022/cm)の1~2倍程度になるように設定する。
 また、酸素を反応種とする代表的なプラズマ処理としてアッシング処理がよく知られているが、アッシング処理の場合には等方的に酸化(灰化)反応が生じるのに対し、本実施形態の高バイアスプラズマドーピングにおいては、異方性のドーピングを生じさせることができる。
 また、本実施形態において、原料ガスをAr(アルゴン)とOとの混合ガスとして有磁場マイクロ波プラズマ源(例えば特開平11-219950号公報参照)を用いることにより、第2の不純物である酸素のプラズマドーピングを行ってもよい。
 次に、図示は省略しているが、ゲート電極15をマスクとして、フィン型半導体領域13bに不純物をイオン注入して、n型のポケット領域を形成する。
 次に、図2(e)に示すように、支持基板11上の全面に亘って例えば厚さ60nmの絶縁膜を形成した後、異方性ドライエッチングを用いて当該絶縁膜をエッチバックすることにより、ゲート電極15の側面上に絶縁性サイドウォールスペーサ16を形成する。
 その後、第1及び第2の不純物領域7a及び7bを形成するためのプラズマドーピング処理と同様にして、ゲート電極15及び絶縁性サイドウォールスペーサ16をマスクとして、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えばボロン)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入する。続いて、絶縁物領域37を形成するためのプラズマドーピング処理と同様にして、ゲート電極15及び絶縁性サイドウォールスペーサ16をマスクとして、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、図2(d)に示すように、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13bの上部に絶縁物領域47が形成されると共に、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13bの側部に、ソース・ドレイン領域27となるp型の不純物領域が形成される。
 本実施形態では、ソース・ドレイン領域27のシート抵抗を、フィン型半導体領域13b上部の絶縁物領域47のシート抵抗よりも小さくすることができる。すなわち、絶縁物領域47のシート抵抗、比抵抗又は拡がり抵抗と比べて、ソース・ドレイン領域27のシート抵抗、比抵抗又は拡がり抵抗をより小さくすることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてソース・ドレイン領域27の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 本実施形態の特徴は次の通りである。すなわち、フィン型FETのエクステンション領域17をプラズマドーピング法を用いて形成するに際して、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えばボロン)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入し、次いで、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、フィン型半導体領域13b上部の絶縁物領域37よりも小さいシート抵抗、比抵抗又は拡がり抵抗を持つ第2の不純物領域17b(エクステンション領域17)をフィン型半導体領域13b側部に備えたフィン型MISFETを得ることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてエクステンション領域17の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 また、エクステンション領域17の形成に際して第1の不純物及び第2の不純物のそれぞれを導入する工程において通常のプラズマドーピング法を用いることができるので、各不純物の導入をごく短時間(例えば10~120秒程度)で終えることができ、その結果、トータルの処理時間を従来と比較して格段に短縮することができる。
 また、エクステンション領域17の場合と同様に、フィン型FETのソース・ドレイン領域27をプラズマドーピング法を用いて形成するに際して、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えばボロン)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入し、次いで、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、フィン型半導体領域13b上部の絶縁物領域47よりも小さいシート抵抗、比抵抗又は拡がり抵抗を持つソース・ドレイン領域27をフィン型半導体領域13b側部に備えたフィン型MISFETを得ることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてソース・ドレイン領域27の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 また、ソース・ドレイン領域27の形成に際して第1の不純物及び第2の不純物のそれぞれを導入する工程において通常のプラズマドーピング法を用いることができるので、各不純物の導入をごく短時間(例えば10~120秒程度)で終えることができ、その結果、トータルの処理時間を従来と比較して格段に短縮することができる。
 尚、本実施形態において、n型のフィン型半導体領域13bにp型不純物をプラズマドーピングしてp型のエクステンション領域17及びp型のソース・ドレイン領域27、つまりp型のMISFETを形成する場合を例示した。しかし、これに代えて、p型のフィン型半導体領域にn型不純物をドーピングしてn型のエクステンション領域及びn型のソース・ドレイン領域、つまりn型のMISFETを形成してもよい。
 また、本実施形態において、エクステンション領域17及びソース・ドレイン領域27のそれぞれの形成に際して、第1の不純物のプラズマドーピングを行った後、第2の不純物のプラズマドーピングを行ったが、これに代えて、第2の不純物のプラズマドーピングを行った後、第1の不純物のプラズマドーピングを行ってもよい。
 また、本実施形態において、ソース・ドレイン領域27の形成に際しては、第2の不純物のプラズマドーピングを省略しても良い。この場合、エクステンション領域17を形成する際の第2の不純物のプラズマドーピングにおいてフィン型半導体領域13b上部に予め十分なドーズ量で第2の不純物を導入しておいてもよい。
 (第2の実施形態)
 以下、本発明の第2の実施形態に係る半導体装置及びその製造方法について、図面を参照しながら説明する。
 本実施形態に係る半導体装置、具体的には、フィン型FETを有する半導体装置は、図1(a)に示す第1の実施形態の半導体装置の平面構成と同じ平面構成を有している。図4(a)~(c)は、本実施形態に係る半導体装置、具体的には、フィン型FETを有する半導体装置の構造を示す図であり、図4(a)は図1(a)におけるB-B線の断面図であり、図4(b)は図1(a)におけるC-C線の断面図であり、図4(c)は図1(a)におけるD-D線の断面図である。尚、本実施形態において、図1(a)におけるA-A線の断面構成は、図1(b)に示す第1の実施形態の半導体装置の断面構成と同じである。
 本実施形態のフィン型FETは、図1(a)、(b)及び図4(a)~(c)に示すように、例えばシリコンからなる支持基板11と、支持基板11上に形成された例えば酸化シリコンからなる絶縁層12と、絶縁層12上に形成されたフィン型半導体領域13a~13dと、フィン型半導体領域13a~13d上に例えばシリコン酸窒化膜からなるゲート絶縁膜14a~14dを介して形成されたゲート電極15と、ゲート電極15の側面上に形成された絶縁性サイドウォールスペーサ16と、フィン型半導体領域13a~13dにおけるゲート電極15を挟む両側方領域に形成されたエクステンション領域17と、フィン型半導体領域13a~13dにおけるゲート電極15及び絶縁性サイドウォールスペーサ16を挟む両側方領域に形成されたソース・ドレイン領域27とを有している。各フィン型半導体領域13a~13dは、ゲート幅方向の幅aが例えば30nm程度であり、ゲート長方向の幅bが例えば200nm程度であり、高さ(厚さ)cが例えば50nm程度であり、絶縁層12上においてゲート幅方向にピッチd(例えば60nm程度)で並ぶように配置されている。
 尚、フィン型半導体領域13a~13dの上面と側面とは互いに垂直であってもよいし、垂直でなくてもよい。ゲート電極15は、ゲート幅方向にフィン型半導体領域13a~13dを跨ぐように形成されている。エクステンション領域17は、フィン型半導体領域13a~13dのそれぞれの上部に形成された第1の不純物領域17aと、フィン型半導体領域13a~13dのそれぞれの側部に形成された第2の不純物領域17bとから構成されている。また、ソース・ドレイン領域27は、フィン型半導体領域13a~13dのそれぞれの上部に形成された第3の不純物領域27aと、フィン型半導体領域13a~13dのそれぞれの側部に形成された第4の不純物領域27bとから構成されている。尚、ポケット領域の説明及び図示については省略する。
 本実施形態においては、フィン型半導体領域13a~13dの上部及び両側部がチャネルとして機能するトリプルゲート型FETが構成される。本実施形態の特徴は次の通りである。すなわち、フィン型半導体領域側部に形成された第2の不純物領域17bの注入ドーズ量が、フィン型半導体領域上部に形成された第1の不純物領域17aの注入ドーズ量と比べて同等以上に設定されている。これにより、エクステンション領域17を構成する第2の不純物領域17bのシート抵抗を、第1の不純物領域17aのシート抵抗以下に設定することができるので、エクステンション領域17のゲート幅方向の幅においてフィン型半導体領域側部に形成された第2の不純物領域17bの幅が占める割合が大きくなっても、所望のトランジスタ特性を得ることができる。同様に、フィン型半導体領域側部に形成された第4の不純物領域27bの注入ドーズ量が、フィン型半導体領域上部に形成された第3の不純物領域27aの注入ドーズ量と比べて同等以上に設定されている。これにより、ソース・ドレイン領域27を構成する第4の不純物領域27bのシート抵抗を、第3の不純物領域27aのシート抵抗以下に設定することができるので、ソース・ドレイン領域27のゲート幅方向の幅においてフィン型半導体領域側部に形成された第4の不純物領域27bの幅が占める割合が大きくなっても、所望のトランジスタ特性を得ることができる。
 以上の説明においては、第2の不純物領域17b(第4の不純物領域27b)のシート抵抗を第1の不純物領域17a(第3の不純物領域27a)のシート抵抗と比べて同等以下に設定したが、第2の不純物領域17b(第4の不純物領域27b)の比抵抗又は拡がり抵抗を第1の不純物領域17a(第3の不純物領域27a)の比抵抗又は拡がり抵抗と比べて同等以下に設定しても、同様の効果が得られる。ここで、対象物のシート抵抗をRs、抵抗率(比抵抗)をρ、厚さ(接合深さ)をt、拡がり抵抗をρwとすると、Rs=ρ/tである。また、抵抗率(比抵抗)ρと拡がり抵抗ρwとは基本的には1対1の関係にあるので、Rs∝ρw/tと表せる。以下の説明においては、主として「シート抵抗」を用いて説明を行うが、抵抗の大小関係については「シート抵抗」を「比抵抗」又は「拡がり抵抗」と読み替えてもよい。
 尚、本実施形態において、フィン型半導体領域側部に形成された第2の不純物領域17bの注入ドーズ量が、フィン型半導体領域上部に形成された第1の不純物領域17aの注入ドーズ量の80%(より好ましくは90%)程度以上であれば、従来技術と比べてトランジスタ特性を顕著に改善することができる。同様に、フィン型半導体領域側部に形成された第4の不純物領域27bの注入ドーズ量が、フィン型半導体領域上部に形成された第3の不純物領域27aの注入ドーズ量の80%(より好ましくは90%)程度以上であれば、従来技術と比べてトランジスタ特性を顕著に改善することができる。
 また、本実施形態において、「フィン型半導体領域の側面の高さ」/「フィン型半導体領域の上面のゲート幅方向の幅」(以下、アスペクト比と称する)が小さければ、第2の不純物領域17bの注入ドーズ量が第1の不純物領域17aの注入ドーズ量と比べてある程度小さくても、つまり、第2の不純物領域17bのシート抵抗、比抵抗又は拡がり抵抗が第1の不純物領域17aのシート抵抗、比抵抗又は拡がり抵抗と比べてがある程度(例えば10%程度以下)大きくても、トランジスタ特性の劣化は少ない。一方、このアスペクト比が大きくなるに従って、第2の不純物領域17bの注入ドーズ量を第1の不純物領域17aの注入ドーズ量と比べて同等以上にする必要性、つまり、第2の不純物領域17bのシート抵抗、比抵抗又は拡がり抵抗を第1の不純物領域17aのシート抵抗、比抵抗又は拡がり抵抗と比べて同等以下にする必要性が増す。同様に、アスペクト比が小さければ、第4の不純物領域27bの注入ドーズ量が第3の不純物領域27aの注入ドーズ量と比べてある程度小さくても、つまり、第4の不純物領域27bのシート抵抗、比抵抗又は拡がり抵抗が第3の不純物領域27aのシート抵抗、比抵抗又は拡がり抵抗と比べてある程度(例えば10%程度以下)大きくても、トランジスタ特性の劣化は少ない。一方、このアスペクト比が大きくなるに従って、第4の不純物領域27bの注入ドーズ量を第3の不純物領域27aの注入ドーズ量と比べて同等以上にする必要性、つまり第4の不純物領域27bのシート抵抗、比抵抗又は拡がり抵抗を第3の不純物領域27aのシート抵抗、比抵抗又は拡がり抵抗と比べて同等以下にする必要性が増す。
 以下、本発明の第2の実施形態に係る半導体装置の製造方法について図面を参照しながら説明する。
 図5(a)~(g)は、本実施形態に係る半導体装置の製造方法を工程順に示す断面図である。尚、図5(a)~(g)は、図1(a)におけるD-D線の断面構成と対応している。
 まず、図5(a)に示すように、例えばシリコンからなる厚さ800μmの支持基板11上に例えば酸化シリコンからなる厚さ150nmの絶縁層12を介して例えばシリコンからなる厚さ50nmの半導体層が設けられたSOI基板を準備する。その後、当該半導体層をパターニングして、活性領域となるp型のフィン型半導体領域13bを形成する。ここで、フィン型半導体領域13bは、ゲート幅方向の幅aが例えば30nm程度であり、ゲート長方向の幅bが例えば200nm程度であり、高さ(厚さ)cが例えば50nm程度であり、隣接する他のフィン型半導体領域とピッチd(例えば60nm程度)で並ぶように配置される。
 次に、図5(b)に示すように、フィン型半導体領域13bの表面に例えばシリコン酸窒化膜からなる厚さ3nmのゲート絶縁膜14を形成した後、支持基板12上の全面に亘って例えば厚さ60nmのポリシリコン膜15Aを形成する。
 次に、図5(c)に示すように、ポリシリコン膜15A及びゲート絶縁膜14を順次エッチングして、フィン型半導体領域13b上にゲート絶縁膜14bを介して例えばゲート長方向の幅が60nmのゲート電極15を形成する。
 その後、ゲート電極15をマスクとして、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えば砒素)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入する。これにより、フィン型半導体領域13bの上部にn型の第1の不純物領域7aが形成されると共にフィン型半導体領域13bの側部にn型の第2の不純物領域7bが形成される。
 このとき、第1の不純物領域7aは、第2の不純物領域7bと比べて導入ドーズ量が大きくなるように形成される。この理由は以下の通りである(従来例を示す図14(b)参照)。プラズマドーピング法を用いて不純物導入を行った場合、導入イオン109aと、吸着種(ガス分子やラジカル等の中性種)109bと、スパッタリングによってフィン型半導体領域103a~103dを離脱する不純物109cとのバランスによって決まる導入ドーズ量を持つ第1の不純物領域107aがフィン型半導体領域103a~103dの上部に形成される。一方、フィン型半導体領域103a~103dの各側部の導入ドーズ量については、導入イオン109aやスパッタリングによる離脱不純物109cの影響は小さく、主として吸着種109bによって決まる導入ドーズ量を持つ第2の不純物領域107bがフィン型半導体領域103a~103dの側部に形成される。その結果、第1の不純物領域107aの導入ドーズ量は、第2の不純物領域107bの導入ドーズ量と比べて例えば25%程度高くなる。
 また、第1及び第2の不純物領域7a及び7bを形成するためのプラズマドーピング処理は、例えば図3に示すプラズマドーピング装置を用いて行うことができる。このとき、プラズマドーピング条件は、例えば、原料ガスがHe(ヘリウム)で希釈したAsH(アルシン)であり、原料ガス中でのアルシン濃度が0.3質量%であり、原料ガスの総流量が300cc/min(標準状態)であり、チャンバ内圧力が0.9Paであり、コイルに供給する高周波電力が2000Wであり、試料電極に供給する高周波電力が200Wであり、基板温度が20℃である。
 次に、ゲート電極15をマスクとして、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、図5(d)に示すように、フィン型半導体領域13bの上部に形成されている第1の不純物領域7aの表面部に絶縁物領域37が形成されると共に絶縁物領域37の下側にn型の第1の不純物領域17a(第1の不純物領域7aの残り)が残存する。このとき、エクステンション領域やソース・ドレイン領域の特性を劣化させない程度の第2の不純物が第1の不純物領域17aに含まれていてもよい。また、エクステンション領域やソース・ドレイン領域の特性を劣化させない程度の第2の不純物がフィン型半導体領域13bの側部に導入されてもよい。その場合、図5(c)に示す工程でフィン型半導体領域13bの側部に形成されたn型の第2の不純物領域7bが改質され、n型の第2の不純物領域17bとなる。これらの第1の不純物領域17aと第2の不純物領域17bとは、絶縁性サイドウォールスペーサ16(図5(f)参照)により覆われるフィン型半導体領域13bにおいてエクステンション領域17となる(図4(a)参照)。
 本実施形態では、第1の不純物領域7aにおける第1の不純物の高濃度導入部分が絶縁物領域37となり、残りの第1の不純物領域7aが第1の不純物領域17aとなるので、フィン型半導体領域13b側部の第2の不純物領域17bのシート抵抗を、フィン型半導体領域13b上部の第1の不純物領域17aのシート抵抗よりも小さくすることができる。すなわち、第1の不純物領域17aのシート抵抗、比抵抗又は拡がり抵抗と比べて、第2の不純物領域17bのシート抵抗、比抵抗又は拡がり抵抗をより小さくすることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてエクステンション領域17の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 ここで、半導体を絶縁物化する第2の不純物である酸素のプラズマドーピングには、例えば前述の図3に示すプラズマドーピング装置を用いることができる。また、その際のプラズマドーピング条件は、例えば、原料ガスがO(酸素)であり、原料ガスの流量が50cc/min(標準状態)であり、チャンバ内圧力が0.5Paであり、コイルに供給する高周波電力が2000Wであり、試料電極に供給する高周波電力が800Wであり、基板温度が20℃である。このように、試料電極に比較的高い高周波電力を供給して酸素のドーピングを行う場合、異方性のドーピングが生じ、基板主面に垂直な方向に対して選択的にドーピングが進行する。従って、フィン型半導体領域13bの側部の第2の不純物領域17bにはほとんど酸素がドーピングされない。
 尚、酸素のドーズ量については、絶縁物領域37の形成範囲(基板上面から深さ数nm程度まで)において酸素の原子密度がシリコンの原子密度(約5.0×1022/cm)の1~2倍程度になるように設定する。
 また、酸素を反応種とする代表的なプラズマ処理としてアッシング処理がよく知られているが、アッシング処理の場合には等方的に酸化(灰化)反応が生じるのに対し、本実施形態の高バイアスプラズマドーピングにおいては、異方性のドーピングを生じさせることができる。
 また、本実施形態において、原料ガスをAr(アルゴン)とOとの混合ガスとして有磁場マイクロ波プラズマ源(例えば特開平11-219950号公報参照)を用いることにより、第2の不純物である酸素のプラズマドーピングを行ってもよい。
 次に、図5(e)に示すように、フィン型半導体領域13bの上部に形成された絶縁物領域37を除去する。絶縁物領域37の除去方法としては、例えば、ArとCFとの混合ガスからなるプラズマによるドライエッチングを用いることができる。このとき、酸化シリコンからなる絶縁層12の露出表面もごく僅かではあるがエッチングされる。
 ここで、絶縁物領域37のドライエッチング処理には、例えば図3に示すプラズマドーピング装置と同様の構成を有するドライエッチング装置を用いることができる。その場合のドライエッチング条件は、例えば、原料ガスがAr(アルゴン)で希釈したCF(四フッ化メタン)であり、原料ガス中での四フッ化メタン濃度が5質量%であり、原料ガスの総流量が200cc/min(標準状態)であり、チャンバ内圧力が1.3Paであり、コイルに供給する高周波電力が1500Wであり、試料電極に供給する高周波電力が100Wであり、基板温度が20℃である。このように、試料電極に高周波電力を供給してドライエッチングを行う場合、異方性のエッチングが生じ、基板主面に対して垂直な方向にのみ選択的にエッチングが進行する。従って、フィン型半導体領域13b側部の第2の不純物領域17bはほとんどエッチングされない。
 次に、図示は省略しているが、ゲート電極15をマスクとして、フィン型半導体領域13bに不純物をイオン注入して、n型のポケット領域を形成する。
 以上に説明したように、本実施形態においては、フィン型半導体領域13bの上部に形成されたn型の第1の不純物領域17aと、フィン型半導体領域13bの側部に形成されたn型の第2の不純物領域17bとからn型のエクステンション領域17が構成される。具体的には、図5(c)に示す工程で形成された第1の不純物領域7aは、図5(d)に示す工程で上層の絶縁物領域37と下層の第1の不純物領域17aとに改質され、図5(e)に示す工程で絶縁物領域37が除去される。このとき、図5(c)に示す工程で形成された第1の不純物領域7aのうちAsが高濃度でドープされた領域が選択的に除去されるため、図5(e)に示す工程で残存する第1の不純物領域17a中のAs濃度は小さくなる。このため、エクステンション領域17を構成する第2の不純物領域17bのシート抵抗を、第1の不純物領域17aのシート抵抗と比べて同等以下に設定することができる。すなわち、第2の不純物領域17bのシート抵抗、比抵抗又は拡がり抵抗を、第1の不純物領域17aのシート抵抗、比抵抗又は拡がり抵抗と比べて同等以下に設定することができる。従って、エクステンション領域17のゲート幅方向の幅においてフィン型半導体領域13b側部に形成された第2の不純物領域17bの幅が占める割合が大きくなっても、所望のトランジスタ特性を得ることができる。
 次に、図5(f)に示すように、支持基板12上の全面に亘って例えば厚さ60nmの絶縁膜を形成した後、異方性ドライエッチングを用いて当該絶縁膜をエッチバックすることにより、ゲート電極15の側面上に絶縁性サイドウォールスペーサ16を形成する。
 その後、第1及び第2の不純物領域7a及び7bを形成するためのプラズマドーピング処理と同様にして、ゲート電極15及び絶縁性サイドウォールスペーサ16をマスクとして、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えば砒素)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入する。続いて、絶縁物領域37を形成するためのプラズマドーピング処理と同様にして、ゲート電極15及び絶縁性サイドウォールスペーサ16をマスクとして、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、図5(f)に示すように、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13bの上部にn型の第3の不純物領域27aが形成されると共にその表面部が絶縁物領域47に改質される。また、絶縁性サイドウォールスペーサ16の外側のフィン型半導体領域13bの側部に、n型の第4の不純物領域27bが形成される。これらの第3の不純物領域27aと第4の不純物領域27bとはソース・ドレイン領域27を構成する。尚、ソース・ドレイン領域27の特性を劣化させない程度の第2の不純物が第3及び第4の不純物領域27a及び27bに含まれていてもよい。
 次に、図5(g)に示すように、フィン型半導体領域13bの上部に形成された絶縁物領域47を除去する。絶縁物領域47の除去方法としては、例えば、ArとCFとの混合ガスからなるプラズマによるドライエッチングを用いることができる。このとき、酸化シリコンからなる絶縁層12の露出表面もごく僅かではあるがエッチングされる。
 以上に説明したように、本実施形態においては、フィン型半導体領域13bの上部に形成されたn型の第3の不純物領域27aと、フィン型半導体領域13bの側部に形成されたn型の第4の不純物領域27bとからn型のソース・ドレイン領域27が構成される。具体的には、図5(f)に示す工程で第3の不純物領域27aを形成すると共にその表面部が絶縁物領域47に改質され、図5(g)に示す工程で絶縁物領域47が除去される。このとき、図5(f)に示す工程で形成された第3の不純物領域27aのうちAsが高濃度でドープされた領域が選択的に除去されるため、図5(g)に示す工程で残存する第3の不純物領域27a中のAs濃度は小さくなる。このため、ソース・ドレイン領域27を構成する第4の不純物領域27bのシート抵抗を、第3の不純物領域37aのシート抵抗と比べて同等以下に設定することができる。すなわち、第4の不純物領域27bのシート抵抗、比抵抗又は拡がり抵抗を、第3の不純物領域27aのシート抵抗、比抵抗又は拡がり抵抗と比べて同等以下に設定することができる。従って、ソース・ドレイン領域27のゲート幅方向の幅においてフィン型半導体領域13b側部に形成された第4の不純物領域27bの幅が占める割合が大きくなったとしても、所望のトランジスタ特性を得ることができる。
 本実施形態の特徴は次の通りである。すなわち、フィン型FETのエクステンション領域17をプラズマドーピング法を用いて形成するに際して、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えば砒素)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入し、次いで、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、フィン型半導体領域13bの上部に形成された第1の不純物領域17aの表面部を絶縁物領域37に改質した後、絶縁物領域37を除去する。その結果、フィン型半導体領域13b上部に残存する第1の不純物領域17aと、第1の不純物領域17aと比べて同等以下のシート抵抗、比抵抗又は拡がり抵抗を持つ第2の不純物領域17bとからなるエクステンション領域17を備えたフィン型MISFET(フィン型半導体領域13bの上部及び両側部がチャネルとして機能するトリプルゲート型FET)を得ることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてエクステンション領域17の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 また、エクステンション領域17の形成に際して第1の不純物及び第2の不純物のそれぞれを導入する工程において通常のプラズマドーピング法を用いることができるので、各不純物の導入をごく短時間(例えば10~120秒程度)で終えることができる。また、絶縁物領域37を除去する工程は通常のエッチング工程であるから、ごく短時間(例えば5~30秒程度)で終えることができる。従って、トータルの処理時間を従来と比較して格段に短縮することができる。
 また、エクステンション領域17の場合と同様に、フィン型FETのソース・ドレイン領域27をプラズマドーピング法を用いて形成するに際して、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物(例えば砒素)をプラズマドーピング法によってフィン型半導体領域13bの上部及び側部に導入し、次いで、半導体を絶縁物化する第2の不純物(例えば酸素)をプラズマドーピング法によってフィン型半導体領域13bの上部に導入する。これにより、フィン型半導体領域13bの上部に形成された第3の不純物領域27aの表面部を絶縁物領域47に改質した後、絶縁物領域47を除去する。その結果、フィン型半導体領域13b上部に残存する第3の不純物領域37aと、第3の不純物領域37aと比べて同等以下のシート抵抗、比抵抗又は拡がり抵抗を持つ第4の不純物領域27bとからなるソース・ドレイン領域27を備えたフィン型MISFET(フィン型半導体領域13bの上部及び両側部がチャネルとして機能するトリプルゲート型FET)を得ることができる。従って、フィン型半導体領域13bの幅(図1(a)のゲート幅方向の幅a)に対する高さ(図1(a)の高さ(厚さ)c)の比率が大きくなるに従って、ゲート幅方向においてソース・ドレイン領域27の幅を十分に確保することができるので、所望のトランジスタ特性を得ることができる。
 また、ソース・ドレイン領域27の形成に際して第1の不純物及び第2の不純物のそれぞれを導入する工程において通常のプラズマドーピング法を用いることができるので、各不純物の導入をごく短時間(例えば10~120秒程度)で終えることができる。また、絶縁物領域47を除去する工程は通常のエッチング工程であるから、ごく短時間(例えば5~30秒程度)で終えることができる。従って、トータルの処理時間を従来と比較して格段に短縮することができる。
 尚、本実施形態において、p型のフィン型半導体領域13bにn型不純物をプラズマドーピングしてn型のエクステンション領域17及びn型のソース・ドレイン領域27、つまりn型のMISFETを形成する場合を例示した。しかし、これに代えて、n型のフィン型半導体領域にp型不純物をドーピングしてp型のエクステンション領域及びp型のソース・ドレイン領域、つまりp型のMISFETを形成してもよい。
 また、本実施形態において、エクステンション領域17及びソース・ドレイン領域27のそれぞれの形成に際して、第1の不純物のプラズマドーピングを行った後、第2の不純物のプラズマドーピングを行ったが、これに代えて、第2の不純物のプラズマドーピングを行った後、第1の不純物のプラズマドーピングを行ってもよい。
 また、本実施形態において、ソース・ドレイン領域27の形成に際しては、第2の不純物のプラズマドーピング(つまり絶縁物領域47の形成)を省略しても良い。この場合、絶縁物領域47の除去工程も不要であることは言うまでもない。
 また、本実施形態において、フィン型半導体領域13bの上部に形成された絶縁物領域37及び47を除去する方法として、ArとCFとの混合カスからなるプラズマによるドライエッチングを用いる場合を例示したが、これに代えて、Arなどの希ガスからなるプラズマによるドライエッチング(スパッタエッチング)を用いた異方性エッチングを行ってもよい。或いは、例えばフッ酸溶液に支持基板11を浸漬することにより、絶縁物領域37及び47をウェットエッチングにより除去してもよい。この場合、エッチング反応自体は等方性を有するが、第2及び第4の不純物領域17b及び27bには、半導体を絶縁物化する第2の不純物(酸素)が導入されていないために、第2及び第4の不純物領域17b及び27bに対するエッチングは進行しない。
 図6は、As(砒素)とO(酸素)とをそれぞれプラズマドーピングにより導入したシリコン基板におけるAs濃度プロファイル(一点鎖線)と、O(酸素)の導入により形成された絶縁物領域を除去した後のシリコン基板におけるAs濃度プロファイル(実線)とを示している。ここで、横軸の深さ0nmは、絶縁物領域を除去した後のシリコン基板の表面に対応している。すなわち、図6は、厚さ6nmの絶縁物領域が形成される場合を示しているが、これが例示に過ぎないことは言うまでもない。尚、絶縁物領域の除去はウェットエッチングにより行っており、As濃度の測定はSIMS(Secondary Ion Mass Spectrometry)により行っている。図6に示すように、ウェットエッチング前においてはシリコン基板の最表面(横軸の深さ-6nmに相当)から深さ約6nmまでの部分に高濃度でAsが導入されているが、この部分がウェットエッチングによって除去される結果、ウェットエッチング後のシリコン基板表面部においてはAsの導入ドーズ量が大きく低減されている。
 すなわち、絶縁物領域37及び47の除去にドライエッチングを用いる場合には、ゲート絶縁膜に対する横方向のエッチング(ゲート絶縁膜の側面からのエッチング)が進行する事態を回避することができるという利点が得られるのに対して、ウェットエッチングを用いる場合には次のような利点が得られる。すなわち、絶縁物領域37及び47を構成するシリコン酸化物(又はシリコン窒化物)と、フィン型半導体領域13bを構成するシリコンとのエッチング選択比がドライエッチングの場合と比べて格段に大きくなるため、半導体を絶縁物化する第2の不純物の導入量の多い部分のみを、エッチング時間とは無関係に正確に除去することができる。
 また、第1及び第2の実施形態において、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物のプラズマドーピングにおける原料ガスとして、Heで希釈したB、又はHeで希釈したAsHを用いる場合を例示したが、これに限られず、該当不純物を含む原料ガス(以下、不純物原料ガスという)を希ガスで希釈した混合ガスを用いることができる。具体的には、不純物原料ガスとして、B、As又はP(x、yは自然数)などを用いることができる。これらのガスには、B、As、Pの他に、不純物として基板に混入しても影響が少ないHを含むだけであるという利点がある。しかし、他のBを含むガス、例えば、BF、BCl、BBrなども用いることも可能であるし、他のPを含むガス、例えば、PF、PF、PCl、PCl、POClなども利用可能である。また、希釈要の希ガスとしては、He、Ne、Ar、Kr、Xeなどを用いることができるが、Heが最も適している。その主たる理由は、スパッタ性が低いためである。Heの次に好ましい希ガスはNeである。NeはHeよりも若干スパッタレートが高いという難点があるものの、低圧で放電しやすいという利点がある。
 尚、第1の実施形態のように、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物のプラズマドーピングにおける原料ガスとして、Heで希釈したBを用いる場合、原料ガス中のBの質量濃度は0.01%以上で且つ1%以下であることが好ましい。このようにすると、フィン型半導体領域中にボロンを容易に導入することができる。逆に、Bガス濃度が0.01%未満である場合には十分な量のボロンを導入することが困難になり、Bガス濃度が1%よりも大きい場合には基板表面にボロンを含む堆積物が付着しやすくなる。また、不純物原料ガスを用いずに、固体の不純物源を用いてプラズマドーピングを行ってもよいことは言うまでもない。
 また、第1及び第2の実施形態において、半導体を絶縁物化する第2の不純物をプラズマドーピング法によってフィン型半導体領域の上部に導入する方法を例示したが、これに代えて、第2の不純物をイオン注入法によってフィン型半導体領域の上部に導入してもよい。イオン注入法を用いた場合、プラズマドーピング法よりも強い異方性を持つ処理が可能となるため、フィン型半導体領域の側部を全く絶縁物化せずに、フィン型半導体領域の上部のみを絶縁物化することが可能となる。
 また、第1及び第2の実施形態において、半導体を絶縁物化する第2の不純物として酸素を用いる場合を例示したが、これに代えて、窒素を用いてもよい。シリコンに窒素を導入することによって得られるシリコン窒化物は、シリコン酸化物と同様に絶縁物であり、異方性ドライエッチングによって選択的に除去可能であると共に、例えばフッ酸溶液によるウェットエッチングにおいてシリコン酸化物と同様にシリコンに対する高いエッチング選択比を持つ。尚、第2の不純物が酸素や窒素に限定されないことは言うまでもないが、第2の不純物が酸素又は窒素であると、第2の不純物の導入を、安価且つ安全な酸素ガスや窒素ガスを用いて行うことができるので、コスト面及びプロセス面で有利である。
 また、第2の実施形態において、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をフィン型半導体領域の上部及び側部に導入した後、半導体を絶縁物化する第2の不純物をフィン型半導体領域の上部に導入して絶縁物領域を形成し、その後、当該絶縁物領域を除去する方法を例示した。しかし、これに代えて、第1の不純物をフィン型半導体領域の上部及び側部に導入した後、第2の不純物の導入を行うことなく、第1の不純物が導入されているフィン型半導体領域の上部を、ドライエッチングなどの異方性の除去反応を用いて除去しても良い。このようにすると、フィン型半導体領域の上部において第1の不純物の高濃度導入層を除去し、その後に、第1の不純物の低濃度導入層を残存させることができる。従って、フィン型半導体領域側部に形成された不純物領域のシート抵抗、比抵抗又は拡がり抵抗が、フィン型半導体領域上部(除去工程後)に形成された不純物領域のシート抵抗、比抵抗又は拡がり抵抗と比べて同等以下であるエクステンション領域やソース・ドレイン領域を備えたフィン型FETを実現することができる。
 また、第1及び第2の実施形態において、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物のプラズマドーピングに用いたプラズマドーピング装置(第1のプラズマドーピング装置)と、半導体を絶縁物化する第2の不純物のプラズマドーピングに用いたプラズマドーピング装置(第2のプラズマドーピング装置)とは、例えば図3に示すプラズマドーピング装置と同様の構成を有していてもよいが、第1のプラズマドーピング装置及び第2のプラズマドーピング装置のそれぞれの実体としての真空チャンバ(真空容器)は異なっていた方がよい。その理由は、酸素プラズマによって基板上の有機物(レジストなど)がエッチングされた際に生じる反応生成物が真空容器内に残留し、好ましくないコンタミネーションを生じるおそれを回避できるためである。このような構成は、例えば図7に示すプラズマドーピングシステムによって実現可能となる。図7に示すように、ロードロック室71の真空容器71aに投入された基板は、トランスファアーム72aによってトランスファ室72に移され、次いで、第1のプラズマドーピング装置73の真空容器73aに移され、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が基板に導入される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、第2のプラズマドーピング装置74の真空容器74aに移され、半導体を絶縁物化する第2の不純物が基板に導入される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、ロードロック室71の真空容器71aに移され、取り出される。
 同様に、第2の実施形態において、ドライエッチング装置の実体としての真空チャンバ(真空容器)も、第1及び第2のプラズマドーピング装置の実体としての真空容器とは異なっていた方がよい。その理由は、エッチング用のプラズマによって基板上の有機物(レジストなど)がエッチングされた際に生じる反応生成物や、フッ素などのハロゲン元素が真空容器内に残留し、好ましくないコンタミネーションを生じるおそれを回避できるためである。このような構成は、例えば図8に示すプラズマドーピングシステムによって実現可能となる。図8に示すように、ロードロック室71の真空容器71aに投入された基板は、トランスファアーム72aによってトランスファ室72に移され、次いで、第1のプラズマドーピング装置73の真空容器73aに移され、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が基板に導入される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、第2のプラズマドーピング装置74の真空容器74aに移され、半導体を絶縁物化する第2の不純物が基板に導入される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、ドライエッチング装置75の真空容器75aに移され、フィン型半導体領域の上部に形成された絶縁物領域がドライエッチング処理により除去される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、ロードロック室71の真空容器71aに移され、取り出される。
 尚、図7及び図8に示すプラズマドーピングシステムにおいて、半導体を絶縁物化する第2の不純物の導入に用いる第2のプラズマドーピング装置74に代えて、半導体を絶縁物化する第2の不純物の導入に用いるイオン注入装置を備えていてもよいことは言うまでもない。
 また、第2の実施形態において、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をフィン型半導体領域の上部及び側部に導入した後、半導体を絶縁物化する第2の不純物をフィン型半導体領域の上部に導入することなく、第1の不純物が導入されているフィン型半導体領域の上部を、ドライエッチングなどの異方性の除去反応を用いて除去する場合には、例えば図9に示すプラズマドーピングシステムの使用が好適である。図9に示すように、ロードロック室71の真空容器71aに投入された基板は、トランスファアーム72aによってトランスファ室72に移され、次いで、第1のプラズマドーピング装置73の真空容器73aに移され、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が基板に導入される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、ドライエッチング装置75の真空容器75aに移され、フィン型半導体領域の上部に形成された絶縁物領域がドライエッチング処理により除去される。その後、基板は再びトランスファアーム72aによってトランスファ室72に移され、次いで、ロードロック室71の真空容器71aに移され、取り出される。
 その他、第1及び第2の実施形態について種々の変形を行うことが可能である。
 図10は、本発明の第1の実施形態の一変形例に係る半導体装置、具体的には、フィン型FETを有する半導体装置の平面図である。尚、図10において、図1(a)~(e)に示す第1の実施形態の構造と同一の構成要素には同一の符号を付し、重複する説明を省略する。図10に示すように、本変形例が図1(a)~(e)に示す第1の実施形態と異なっている点は、フィン型半導体領域13a~13dのそれぞれにおけるゲート長方向の両端部が他のフィン型半導体領域13e及び13fによって接続されていることである。本変形例によると、第1の実施形態と同様の効果が得られると共に、フィン型半導体領域13a~13fによって1つのフィン型FETを構成することができる。同様の変形が第2の実施形態について可能であることは言うまでもない。
 図11(a)~(d)は、本発明の第1の実施形態の他の変形例に係る半導体装置、具体的には、フィン型FETを有する半導体装置の構造を示す図である。尚、本変形例の平面構造は、図1(a)に示す第1の実施形態の平面構造と同じである。図11(a)は図1(a)におけるA-A線の断面図であり、図11(b)は図1(a)におけるB-B線の断面図であり、図11(c)は図1(a)におけるC-C線の断面図であり、図11(d)は図1(a)におけるD-D線の断面図である。図11(a)~(d)に示すように、本変形例が図1(a)~(e)に示す第1の実施形態と異なっている点は次の通りである。すなわち、第1の実施形態においては、フィン型半導体領域13a~13dの上面及び側面の上に例えばシリコン酸窒化膜からなる厚さ3nmのゲート絶縁膜14a~14dが形成されていた。それに対して、本変形例においては、ゲート絶縁膜14a~14dはフィン型半導体領域13a~13dの側面上のみに形成されており、フィン型半導体領域13a~13dの上面上には例えばシリコン酸化膜からなる厚さ20nmの絶縁膜24a~24dが形成されている。すなわち、本変形例においては、フィン型半導体領域13a~13dの両側部のみをチャネル領域として使用することにより、ダブルゲート型FETが構成される。このような構成であっても、アスペクト比(「フィン型半導体領域の側面の高さ」/「フィン型半導体領域の上面のゲート幅方向の幅」)が大きければ第1の実施形態と同様の効果が得られる。
 本発明は、半導体装置、その製造方法及びプラズマドーピングシステムに関し、特に、基板上にフィン型半導体領域を有する3次元構造の半導体装置において所望の特性を得る上で有用である。
 7a 第1の不純物領域
 7b 第2の不純物領域
 11 支持基板
 12 絶縁層
 13a~13f フィン型半導体領域
 14(14a~14d) ゲート絶縁膜
 15 ゲート電極
 15A ポリシリコン膜
 16 絶縁性サイドウォールスペーサ
 17 エクステンション領域
 17a 第1の不純物領域
 17b 第2の不純物領域
 24(24a~24d) 絶縁膜
 27 ソース・ドレイン領域
 27a 第3の不純物領域
 27b 第4の不純物領域
 37 絶縁物領域
 47 絶縁物領域
 51 真空容器
 52 ガス供給装置
 53 ターボ分子ポンプ
 54 調圧弁
 55 高周波電源
 56 試料電極
 57 誘電体窓
 58 コイル
 59 基板
 60 高周波電源
 61 排気口
 62 支柱
 71 ロードロック室
 71a 真空容器
 72 トランスファ室
 72a トランスファアーム
 73 第1のプラズマドーピング装置
 73a 真空容器
 74 第2のプラズマドーピング装置
 74a 真空容器
 75 ドライエッチング装置
 75a 真空容器

Claims (32)

  1.  基板上にフィン型半導体領域を形成する工程(a)と、
     半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって前記フィン型半導体領域の上部及び側部に導入する工程(b)と、
     第2の不純物として酸素又は窒素を前記フィン型半導体領域の上部及び側部に導入する工程(c)とを備えていることを特徴とする半導体装置の製造方法。
  2.  請求項1に記載の半導体装置の製造方法において、
     前記工程(c)でプラズマドーピング法を用いることを特徴とする半導体装置の製造方法。
  3.  請求項1に記載の半導体装置の製造方法において、
     前記工程(c)でイオン注入法を用いることを特徴とする半導体装置の製造方法。
  4.  請求項1に記載の半導体装置の製造方法において、
     前記工程(b)及び前記工程(c)の両工程が終了した後に、
     前記フィン型半導体領域の上部を除去する工程(d)をさらに備えていることを特徴とする半導体装置の製造方法。
  5.  請求項4に記載の半導体装置の製造方法において、
     前記工程(d)でウェットエッチング法を用いることを特徴とする半導体装置の製造方法。
  6.  請求項4に記載の半導体装置の製造方法において、
     前記工程(d)でドライエッチング法を用いることを特徴とする半導体装置の製造方法。
  7.  請求項1に記載の半導体装置の製造方法において、
     前記工程(b)及び前記工程(c)の両工程が終了した時点で、前記フィン型半導体領域の側部の抵抗は、前記フィン型半導体領域の上部の抵抗と比べて同等以下であることを特徴とする半導体装置の製造方法。
  8.  請求項1に記載の半導体装置の製造方法において、
     前記工程(a)の後で且つ前記工程(b)及び前記工程(c)の両工程の前に、
     前記半導体領域の所定の部分における少なくとも側面上にゲート絶縁膜を形成する工程(e)と、
     前記ゲート絶縁膜上にゲート電極を形成する工程(f)とをさらに備え、
     前記工程(b)及び前記工程(c)では、前記第1の不純物及び前記第2の不純物を、前記ゲート電極の外側に位置する前記フィン型半導体領域に導入することを特徴とする半導体装置の製造方法。
  9.  請求項1に記載の半導体装置の製造方法において、
     前記第1の不純物はボロン、リン又は砒素であることを特徴とする半導体装置の製造方法。
  10.  基板上にフィン型半導体領域を形成する工程(a)と、
     半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって前記フィン型半導体領域の上部及び側部に導入する工程(b)と、
     前記工程(b)よりも後に、前記フィン型半導体領域の上部を除去する工程(c)とを備えていることを特徴とする半導体装置の製造方法。
  11.  請求項10に記載の半導体装置の製造方法において、
     前記工程(c)でドライエッチング法を用いることを特徴とする半導体装置の製造方法。
  12.  請求項10に記載の半導体装置の製造方法において、
     前記工程(c)が終了した時点で、前記フィン型半導体領域の側部の抵抗は、前記フィン型半導体領域の上部の抵抗と比べて同等以下であることを特徴とする半導体装置の製造方法。
  13.  請求項10に記載の半導体装置の製造方法において、
     前記工程(a)の後で且つ前記工程(b)の前に、
     前記半導体領域の所定の部分における少なくとも側面上にゲート絶縁膜を形成する工程(d)と、
     前記ゲート絶縁膜上にゲート電極を形成する工程(e)とをさらに備え、
     前記工程(b)では、前記第1の不純物を、前記ゲート電極の外側に位置する前記フィン型半導体領域に導入することを特徴とする半導体装置の製造方法。
  14.  請求項10に記載の半導体装置の製造方法において、
     前記第1の不純物はボロン、リン又は砒素であることを特徴とする半導体装置の製造方法。
  15.  請求項1~14のいずれか1項に記載の半導体装置の製造方法において、
     前記フィン型半導体領域は、前記基板上に形成された絶縁層上に形成されていることを特徴とする半導体装置の製造方法。
  16.  請求項1~14のいずれか1項に記載の半導体装置の製造方法において、
     前記フィン型半導体領域はシリコンからなることを特徴とする半導体装置の製造方法。
  17.  基板上に形成されたフィン型半導体領域を備えた半導体装置であって、
     前記フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する第1の不純物が導入されていると共に、前記フィン型半導体領域の上部及び側部に、第2の不純物として酸素又は窒素がさらに導入されていることを特徴とする半導体装置。
  18.  請求項17に記載の半導体装置において、
     前記フィン型半導体領域の側部の抵抗は、前記フィン型半導体領域の上部の抵抗と比べて同等以下であることを特徴とする半導体装置。
  19.  請求項17に記載の半導体装置において、
     前記フィン型半導体領域の上部には、前記第2の不純物が導入されることにより絶縁物領域が形成されていることを特徴とする半導体装置。
  20.  請求項17に記載の半導体装置において、
     前記フィン型半導体領域は、前記基板上に形成された絶縁層上に形成されていることを特徴とする半導体装置。
  21.  請求項17に記載の半導体装置において、
     前記フィン型半導体領域の所定の部分における少なくとも側面上に形成されたゲート絶縁膜と、
     前記ゲート絶縁膜上に形成されたゲート電極とをさらに備え、
     前記第1の不純物及び前記第2の不純物は、前記ゲート電極の外側に位置する前記フィン型半導体領域に導入されていることを特徴とする半導体装置。
  22.  請求項21に記載の半導体装置において、
     前記ゲート電極の外側に位置する前記フィン型半導体領域の側部には、前記第1の不純物が導入されることによりエクステンション領域が形成されていることを特徴とする半導体装置。
  23.  請求項22に記載の半導体装置において、
     前記ゲート電極の側面上に形成された絶縁性サイドウォールスペーサをさらに備え、
     前記エクステンション領域は、前記フィン型半導体領域における前記絶縁性サイドウォールスペーサにより覆われている部分に形成されており、
     前記絶縁性サイドウォールスペーサの外側に位置する前記フィン型半導体領域の側部には、前記第1の不純物が導入されることによりソース・ドレイン領域が形成されていることを特徴とする半導体装置。
  24.  請求項17に記載の半導体装置において、
     前記フィン型半導体領域はシリコンからなることを特徴とする半導体装置。
  25.  請求項17~24のいずれか1項に記載の半導体装置において、
     前記第1の不純物はボロン、リン又は砒素であることを特徴とする半導体装置。
  26.  半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入する第1のプラズマドーピング装置と、
     第2の不純物として酸素又は窒素をプラズマドーピング法によって前記被処理体に導入する第2のプラズマドーピング装置とを備えていることを特徴とするプラズマドーピングシステム。
  27.  半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入するプラズマドーピング装置と、
     第2の不純物として酸素又は窒素をイオン注入法によって前記被処理体に導入するイオン注入装置とを備えていることを特徴とするプラズマドーピングシステム。
  28.  請求項26又は27に記載のプラズマドーピングシステムにおいて、
     前記被処理体に対してドライエッチングを行うドライエッチング装置をさらに備えていることを特徴とするプラズマドーピングシステム。
  29.  半導体にドナー準位又はアクセプタ準位を生成する第1の不純物をプラズマドーピング法によって被処理体に導入するプラズマドーピング装置と、
     前記被処理体に対してドライエッチングを行うドライエッチング装置とを備えていることを特徴とするプラズマドーピングシステム。
  30.  基板上にフィン型半導体領域を形成する工程(a)と、
     半導体にドナー準位又はアクセプタ準位を生成する不純物、及び酸素をプラズマドーピング法によって前記フィン型半導体領域の上部及び側部に導入する工程(b)とを備えていることを特徴とする半導体装置の製造方法。
  31.  基板上に形成されたフィン型半導体領域を備えた半導体装置であって、
     前記フィン型半導体領域の上部及び側部に、半導体にドナー準位又はアクセプタ準位を生成する不純物、及び酸素が導入されていることを特徴とする半導体装置。
  32.  半導体にドナー準位又はアクセプタ準位を生成する不純物、及び酸素をプラズマドーピング法によって被処理体に導入することを特徴とするプラズマドーピングシステム。
PCT/JP2010/000285 2009-02-12 2010-01-20 半導体装置、その製造方法及びプラズマドーピングシステム WO2010092748A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/866,649 US8324685B2 (en) 2009-02-12 2010-01-20 Semiconductor device having a fin-type semiconductor region

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-029564 2009-02-12
JP2009029564A JP5457045B2 (ja) 2009-02-12 2009-02-12 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
WO2010092748A1 true WO2010092748A1 (ja) 2010-08-19

Family

ID=42561597

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/000285 WO2010092748A1 (ja) 2009-02-12 2010-01-20 半導体装置、その製造方法及びプラズマドーピングシステム

Country Status (3)

Country Link
US (1) US8324685B2 (ja)
JP (1) JP5457045B2 (ja)
WO (1) WO2010092748A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011080857A1 (ja) * 2009-12-28 2011-07-07 パナソニック株式会社 半導体装置の製造方法及びプラズマドーピング装置
US8324685B2 (en) 2009-02-12 2012-12-04 Panasonic Corporation Semiconductor device having a fin-type semiconductor region

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011129678A (ja) * 2009-12-17 2011-06-30 Panasonic Corp 半導体装置及びその製造方法
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
JP6537341B2 (ja) 2014-05-07 2019-07-03 株式会社半導体エネルギー研究所 半導体装置
CN105633152B (zh) 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
KR102427596B1 (ko) 2015-09-03 2022-07-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10629494B2 (en) * 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260436A (ja) * 1992-12-04 1994-09-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法及び半導体処理装置
JP2008053725A (ja) * 2006-08-23 2008-03-06 Interuniv Micro Electronica Centrum Vzw フィンベース半導体デバイスのドーピング方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4912065A (en) * 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
JPH0758695B2 (ja) 1987-05-28 1995-06-21 松下電器産業株式会社 プラズマドーピング方法
KR930003857B1 (ko) * 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
JP3134910B2 (ja) * 1993-09-07 2001-02-13 株式会社半導体エネルギー研究所 半導体装置の作製方法および液晶ディスプレイ用集積回路の作製方法
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US20040235281A1 (en) 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
JP4979234B2 (ja) 2003-10-09 2012-07-18 パナソニック株式会社 接合の形成方法およびこれを用いて形成された被処理物
DE602005025015D1 (de) * 2004-12-13 2011-01-05 Panasonic Corp Plasma-dotierungsverfahren
JP2006196821A (ja) 2005-01-17 2006-07-27 Fujitsu Ltd 半導体装置とその製造方法
US7282766B2 (en) * 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
WO2008090771A1 (ja) * 2007-01-22 2008-07-31 Panasonic Corporation 半導体装置及びその製造方法
US8004045B2 (en) * 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US8063437B2 (en) * 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
CN102723366B (zh) 2007-07-27 2015-03-04 知识产权之桥一号有限责任公司 半导体装置
JP2010050188A (ja) 2008-08-20 2010-03-04 Panasonic Corp プラズマドーピング装置
JP5457045B2 (ja) 2009-02-12 2014-04-02 パナソニック株式会社 半導体装置及びその製造方法
WO2011013271A1 (ja) * 2009-07-27 2011-02-03 パナソニック株式会社 半導体装置の製造方法及びプラズマドーピング装置
JP4598886B1 (ja) 2009-07-27 2010-12-15 パナソニック株式会社 半導体装置の製造方法及びプラズマドーピング装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260436A (ja) * 1992-12-04 1994-09-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法及び半導体処理装置
JP2008053725A (ja) * 2006-08-23 2008-03-06 Interuniv Micro Electronica Centrum Vzw フィンベース半導体デバイスのドーピング方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324685B2 (en) 2009-02-12 2012-12-04 Panasonic Corporation Semiconductor device having a fin-type semiconductor region
WO2011080857A1 (ja) * 2009-12-28 2011-07-07 パナソニック株式会社 半導体装置の製造方法及びプラズマドーピング装置
US8574972B2 (en) 2009-12-28 2013-11-05 Panasonic Corporation Method for fabricating semiconductor device and plasma doping apparatus

Also Published As

Publication number Publication date
JP5457045B2 (ja) 2014-04-02
JP2010186852A (ja) 2010-08-26
US20110049628A1 (en) 2011-03-03
US8324685B2 (en) 2012-12-04

Similar Documents

Publication Publication Date Title
US11282936B2 (en) Horizontal gate all around device nanowire air gap spacer formation
JP5457045B2 (ja) 半導体装置及びその製造方法
US8835936B2 (en) Source and drain doping using doped raised source and drain regions
TWI222711B (en) Chip incorporating partially-depleted, fully-depleted and multiple-gate transistors and method of fabricating the multiple-gate transistor
JP5449247B2 (ja) 半導体装置
US20090017584A1 (en) Process for finfet spacer formation
CN110610863B (zh) 半导体装置与其形成方法
US8222100B2 (en) CMOS circuit with low-k spacer and stress liner
US8124507B2 (en) Semiconductor device and method for fabricating the same
WO2011080857A1 (ja) 半導体装置の製造方法及びプラズマドーピング装置
KR20030047371A (ko) 반도체소자 및 그 형성 방법
US20100308382A1 (en) Semiconductor structures and methods for reducing silicon oxide undercuts in a semiconductor substrate
US20230014586A1 (en) Horizontal gaa nano-wire and nano-slab transistors
US8349684B2 (en) Semiconductor device with high K dielectric control terminal spacer structure
CN108122761B (zh) 半导体结构及其形成方法
KR20240038102A (ko) 최하부 유전체 아이솔레이션 층들을 형성하는 방법
US10032772B2 (en) Integrated circuits with high voltage devices and methods for producing the same
TWI838260B (zh) 水平gaa奈米線及奈米平板電晶體
US8133814B1 (en) Etch methods for semiconductor device fabrication
KR20050060534A (ko) 반도체 소자 및 그 제조방법

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 12866649

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10741028

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10741028

Country of ref document: EP

Kind code of ref document: A1