WO2009073596A2 - Formulations for cleaning memory device structures - Google Patents

Formulations for cleaning memory device structures Download PDF

Info

Publication number
WO2009073596A2
WO2009073596A2 PCT/US2008/085111 US2008085111W WO2009073596A2 WO 2009073596 A2 WO2009073596 A2 WO 2009073596A2 US 2008085111 W US2008085111 W US 2008085111W WO 2009073596 A2 WO2009073596 A2 WO 2009073596A2
Authority
WO
WIPO (PCT)
Prior art keywords
complex
ether
removal composition
composition
oxide
Prior art date
Application number
PCT/US2008/085111
Other languages
French (fr)
Other versions
WO2009073596A3 (en
Inventor
Jun Liu
Peng Zhang
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2009073596A2 publication Critical patent/WO2009073596A2/en
Publication of WO2009073596A3 publication Critical patent/WO2009073596A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen

Definitions

  • the present invention relates to compositions for removing photoresist layers from microelectronic devices, such as devices including microelectromechanical systems (MEMS), having same thereon.
  • MEMS microelectromechanical systems
  • silicon nitride With a much lower etch rate than silicon, films of silicon nitride have been used as a protective layer or hardmask during KOH or TMAH bulk silicon etching. Disadvantageously, silicon nitride requires a high deposition temperature and is readily compromised during the etching process. Silicon dioxide has a higher etch rate than silicon nitride, therefore, it is only used as a protective/mask layer for very short etches.
  • Organic polymers are ideal candidates for protective coatings.
  • the IC and MEMS industries have been using polymeric coating materials as photoresists, anti-reflective coatings, and planarization layers for many years. These materials are conveniently applied as thin films by the spin-on method and then baked or UV-cured to achieve the final coating form.
  • non-patterned, hard-baked photoresists are readily etched in alkaline solutions.
  • Polymethyl methacrylate was also evaluated as an etch mask for KOH, however, because of saponification of the ester group, the masking time of this polymer was found to decrease sharply from 165 minutes at 60 0 C to 15 minutes at 90 0 C.
  • Black wax (Apiezon® W, available from Scientific Instrument Services, 020732-624.866 CIP PCT (7493)
  • the present invention generally relates to liquid composition that readily removes polymeric protective coatings from the surface of a microelectronic device having same thereon.
  • the liquid removal composition comprises, consists of or consists essentially of at least one organic solvent and at least one etchant source.
  • the present invention relates to a removal composition
  • a removal composition comprising at least one organic solvent and at least one etchant source, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
  • the present invention relates to a removal composition consisting of two organic solvents and at least one etchant source, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
  • the present invention relates to a removal composition consisting of two organic solvents, at least one etchant source, and polymeric material residue, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
  • Still another aspect of the present invention relates to a method of removing polymeric material from a microelectronic device having said material thereon, said method comprising contacting the microelectronic device with a removal composition for sufficient time under sufficient conditions to at least partially remove said material from the microelectronic device, wherein the removal composition includes at least one organic solvent and at least one etchant source.
  • Another aspect of the present invention relates to a removal composition consisting of diethylene glycol monoalkyl ether; sulfolane; and alkanolamine:HF complex, wherein the alkyl group is a Ci-C 6 group, and wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
  • the present invention relates generally to liquid removal compositions that remove polymeric materials, such as spin-on protective coatings, from microelectronic devices having such materials thereon.
  • microelectronic device corresponds to semiconductor substrates, memory devices, flat panel displays, solar cells and photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting 020732-624.866 CIP PCT (7493)
  • microelectronic device in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • suitable for removing polymeric materials from a microelectronic device having said materials thereon corresponds to at least partial removal of said materials from the microelectronic device.
  • at least 85% of the materials to be removed are removed from the microelectronic device using the compositions of the invention, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the materials to be removed are removed.
  • substantially devoid corresponds to less than about 2 wt. %, more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the composition, based on the total weight of said composition.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the invention relates to a liquid removal composition useful in selectively removing polymeric materials, e.g., spin-on protective coatings, from a semiconductor device.
  • One such spin-on protective coating system includes a primer layer that is applied to a microelectronic substrate surface and a photosensitive layer that is applied to the primer layer.
  • the primer layer may include a silane dispersed or dissolved in a solvent system.
  • the silane(s) may be selected from the group consisting of aminoalkoxysilanes, aminoalkylalkoxysilanes, phenylaminoalkylalkoxysilanes, phenylsilanes, diphenylsilanes, 3-[N- phenylaminojpropyltrimethoxysilane, N-[3-trimethoxysilyl]propylethylenediamine, and combinations thereof.
  • the silane(s) may include a species selected from the group consisting of aminopropyltrimethoxysilane, aminopropyltriethoxysilane, N-phenylaminopropyltrimethoxysilane, N-phenylaminopropyltriethoxysilane, 3-glycidoxypropyltrimethoxysilane, 2-(3,4- epoxycyclohexyl)ethyltrimethoxysilane, 3-mercaptopropyl-trimethoxysilane, phenyltrimethoxysilane, phenyltrichlorosilane, phenyltriethoxysilane, phenyltriacetoxysilane, diphenyldimethoxysilane, diphenyldichlorosilane, diphenylsilanediol 2-phenylethyltrialkoxysilane, p/m- chlorophenyltrimethoxysilane,
  • the primer layer is applied such that the silane molecules condense into a continuous film on the microelectronic substrate.
  • the photosensitive layer is formed from a polymer dispersed or dissolved in a solvent system and a photoacid generator (PAG), wherein said polymer comprises a terpolymer selected from the group consisting of styrene polymers, acrylonitrile monomers, and monomers comprising functional groups that react with amines.
  • Monomers that comprise functional groups that react with amines include monomers comprising one or more epoxy groups such as glycidyl methacrylate, glycidyl acrylate, and vinylbenzoyl glycidyl ether.
  • the photosensitive layer is applied such that the photosensitive layer polymer forms covalent bonds with an amine or other reactive group of the silane of the primer layer. 020732-624.866 CIP PCT (7493)
  • the photosensitive layer is exposed to UV light, which causes the PAG to generate an acid, said acid initiating crosslinking of the polymer (preferably via the epoxy groups) in the photosensitive layer.
  • the liquid removal composition of the present invention comprises, consists of or consists essentially of at least one etchant source and at least one organic solvent, wherein said composition is useful for the removal of polymeric coating material from a microelectronic device having same thereon.
  • the liquid removal compositions of the invention may comprise, consist of, or consist essentially of: (i) at least one etchant source and at least one organic solvent; (ii) at least one fluoride source and at least one organic solvent; (iii) at least one etchant source, at least one organic solvent, and polymeric coating material residue; or (iv) at least one fluoride source, at least one organic solvent, and polymeric coating material residue.
  • the liquid removal composition is preferably substantially devoid of added water.
  • the polymeric coating material residue may include the aforementioned primer and/or photosensitive layers, wherein the polymeric coating material residue is suspended and/or dissolved in the liquid removal composition.
  • the polymeric coating material residue may be polymeric or monomeric in nature, wherein the monomers include at least one of the aforementioned silane(s), acrylonitrile monomers, and monomers comprising functional groups that react with amines.
  • added water corresponds to water added by the user or the producer of the composition of the invention. Added water does not correspond to water often found in the commercial chemicals mixed together to form the composition of the invention, or hygroscopic water.
  • the liquid removal composition comprises, consists of or consists essentially of at least one organic solvent and at least one etchant source, present in the following ranges, based on the total weight of the composition: component of preferably (wt.%) more preferably most preferably (wt.%) (wt.%) organic solvent(s) about 50% to about about 60% to about about 80% to about
  • etchant(s) about 0.1% to about about 1% to about about 2% to about 50% 40% 20%
  • the weight percent ratio of organic solvent to etchant is in a range from about 5:1 to about 15: 1, preferably about 7:1 to about 13:1, and most preferably about 9:1 to about 12.5:1.
  • the overall composition efficiently removes polymeric materials, e.g., spin-on polymeric protective coatings, from a microelectronic device while being compatible with underlying layers, e.g., metal(s) and silicon.
  • Etchants contemplated for use include fluorides including, but not limited to: hydrogen fluoride (HF); xenon difluoride (XeF 2 ); fluorosilicic acid (H 2 SiF 6 ); fluoroboric acid; tetrabuylammonium tetrafluoroborate (TBA-BF 4 ); ammonium fluorosilicate ((NH 4 ) 2 SiF 6 ); tetramethylammonium hexafluorophosphate; ammonium fluoride (NH 4 F); tetraalkylammonium fluoride (NR 4 F); alkyl hydrogen fluoride (NRH 3 F); ammonium bifluoride (NH 5 F 2 ); dialkylammonium hydrogen fluoride (NR 2 H 2 F); trialkylammonium hydrogen fluoride (NR 3 HF); trialkylammonium trihydrogen fluoride (NR 3 :3HF); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride pyr
  • the etchant comprises an alkanolamine hydrogen fluoride such as triethanolamine:HF.
  • Solvents useful in the compositions of the invention may be non-polar or polar in nature.
  • Illustrative non-polar species include, but are not limited to, toluene, decane, hexane, hexanes, octane, xylenes, odorless mineral spirits (petroleum naphtha), mineral spirits (hydrotreated heavy naphtha), phenoxy-2-propanol, propriophenone, cyclohexane, perfluoro- 1,2 -dimethyl cyclobutane, perfluoro- 1,2-dimethylcyclohexane, and perfluorohexane(s).
  • Illustrative polar solvents include, but are not limited to, methanol, ethanol, 1-propanol, isopropanol, 1-butanol, 2-butanol, 3 -methyl- 1-butanol, allyl alcohol, and higher alcohols (including diols, triols, etc.), 2,2,3,3 ,4,4,5,5-octafluoro-l-pentanol, lH,lH,9H-perfluoro-l-nonanol, perfluoroheptanoic acid, lH,lH,7H-dodecafluoro-l-heptanol, perfluoropentanoic acid, lH,lH,8H,8H-dodecafluoro-l,8-octanediol, 2,2,3,3,4,4,5,5-octafluoro-l,6- hexanediol, 5H-perfluoropenta
  • the solvent includes diethylene glycol monobutyl ether, sulfolane, and combinations thereof.
  • compositions of the invention are formulated in the following Formulations A-F, wherein all percentages are by weight, based on the total weight of the formulation:
  • Formulation A 70 wt% diethylene glycol monobutyl ether; 20 wt% sulfolane; 10 wt% triethanolamine:HF (73% with 27 wt% water)
  • Formulation B 80 wt% diethylene glycol monomethyl ether; 20 wt% triethanolamine:HF (73%)
  • Formulation C 70 wt% diethylene glycol monomethyl ether; 20 wt% sulfolane; 10 wt% triethanolamine:HF (73%)
  • Formulation D 80 wt% sulfolane; 20 wt% triethanolamine:HF (73%)
  • Formulation E 80 wt% NMP; 20 wt% triethanolamine:HF (73%)
  • Formulation F 80 wt% DMSO; 20 wt% triethanolamine:HF (73%)
  • the removal composition of the invention comprises, consists of or consists essentially of diethylene glycol monoalkyl ether; sulfolane; and alkanolamine:HF, wherein the alkyl group is a Ci-C 6 (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl) group and the alkanolamine comprises a species selected from the group consisting of monoethanolamine; triethanolamine; aminoethylethanolamine; N-methylaminoethanol; aminoethoxyethanol; dimethylaminoethoxyethanol; diethanolamine; N-methyldiethanolamine; 1 -amino-2-propanol; and isobutanolamine.
  • the alkyl group is a Ci-C 6 (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl) group and the alkanolamine comprises a species selected from the group consisting of monoethanol
  • the removal composition of the invention comprises, consists of or consists essentially of diethylene glycol monobutyl ether; sulfolane; and triethanolamine:HF.
  • the removal composition of the invention comprises, consists of or consists essentially of about 60 to about 80 wt% diethylene glycol monobutyl ether; about 15 to about 25 wt% sulfolane; and about 5 to about 15 wt% 020732-624.866 CIP PCT (7493)
  • the removal composition of the invention includes about 70 wt% diethylene glycol monobutyl ether; about 20 wt% sulfolane; and about 10 wt% triethanolamine:HF (73%), based on the total weight of the composition.
  • the composition may further comprise, consist of or consist essentially of polymeric coating material residue.
  • the polymeric coating material residue, whether dissolved and/or suspended in the composition may include at least one compound, whether monomeric or polymeric, of the aforementioned primer layer, photosensitive layer, or combinations thereof.
  • a concentrated liquid removal composition that can be diluted for use as a removal solution.
  • a concentrated composition, or "concentrate,” advantageously permits a user, e.g. a process engineer, to dilute the concentrate at the point of use. Dilution of the concentrated removal composition may be in a range from about 0.1 :1 to about 1000:1, wherein the removal composition is diluted at or just before the tool with at least one organic solvent.
  • a concentrate may be formulated including the etchant and at least one organic solvent for shipping to the fab. At the fab, the user may dilute the concentrate with the at least one organic solvent or a different organic solvent.
  • liquid removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the liquid removal compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the liquid removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. 020732-624.866 CIP PCT (7493)
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, at least one organic solvent and at least one etchant for immediate use at the fab or the point of use.
  • the kit may include, in one or more containers, at least one organic solvent and at least one etchant, for combining with at least one organic solvent at the fab or the point of use, wherein the at least one organic solvent in the container and the at least the one organic solvent added at the fab or point of use may be the same as or different from one another.
  • the containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense.
  • gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense.
  • gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication.
  • the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials are preferably used to fabricate the liners for said one or more containers.
  • Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner.
  • a listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on.
  • Preferred thicknesses of such liner materials are in a range 020732-624.866 CIP PCT (7493)
  • the liquid removal compositions of the present invention are usefully employed to remove polymeric protective coating, e.g., spin-on protective coatings, from the surface of the microelectronic device.
  • the liquid removal compositions of the invention are compatible with the underlying layers, e.g., metal(s) and silicon, also present on the microelectronic device.
  • the liquid removal compositions remove at least 85 % of the polymeric protective coatings present on the device to be removed, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99% of the polymeric protective coatings to be removed are removed from the surface of the microelectronic device.
  • the invention relates to methods of removal of polymeric protective coatings, e.g., spin-on protective coatings, from a microelectronic device using the liquid removal compositions described herein.
  • polymeric protective coatings e.g., spin-on protective coatings
  • the compositions described herein may be used in a one-step or multi-step removal process.
  • the polymeric protective coatings are removed in a single step process.
  • liquid removal compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation. 020732-624.866 CIP PCT (7493)
  • the liquid removal composition is applied in any suitable manner to the microelectronic device having polymeric protective coating thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the polymeric protective coating, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, by contacting the device including the polymeric protective coating with a circulating composition, or by any other suitable means, manner or technique, by which the liquid removal composition is brought into contact with the polymeric protective coating on the microelectronic device.
  • the removal application may be static and/or dynamic, as readily determined by one skilled in the art.
  • the process may be for a batch or single wafer system.
  • the liquid removal composition will further include the aforementioned polymeric protective coating, which may be suspended and/or dissolved in said removal composition.
  • the liquid removal composition typically is contacted with the device for a sufficient time of from about 1 min to about 60 minutes, preferably about 5 min to 40 min, and most preferably about 10 min to about 30 min, at sufficient conditions such as temperature in a range of from about 20 0 C to about 150 0 C, preferably about 60-90 0 C.
  • the liquid removal composition may be readily removed, e.g., rinsed, from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions of the present invention.
  • the device may be rinsed with methanol, isopropanol, ethylene glycol, water, a 020732-624.866 CIP PCT (7493)
  • the device may be dried using nitrogen, a spin-dry cycle, or vapor-dry.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to remove polymeric protective coatings from the microelectronic device having said polymeric protective coatings thereon, and incorporating said microelectronic device into said article, wherein the removal composition comprises, consists of or consists essentially of at least one organic solvent and at least one etchant source.
  • the liquid removal composition may further comprise, consist of or consist essentially of polymeric coating material.
  • Another aspect of the invention relates to an article of manufacture comprising, consisting of or consisting essentially of a microelectronic device substrate, at least one of a primer layer and a photosensitive layer deposited on said substrate, and a liquid removal composition comprising, consisting of or consisting essentially of at least one organic solvent and at least one etchant source.
  • the liquid removal composition comprises, consists of or consists essentially of diethylene glycol monobutyl ether; sulfolane; and triethanolamine:HF.
  • the invention relates to a method of removing polymeric protective coatings from microelectronic devices having same thereon, said method further comprising contacting a surface of the microelectronic device subsequent to polymeric protective coating removal with a rework composition to make said surface hydrophilic, wherein native oxides grow on said 020732-624.866 CIP PCT (7493)
  • compositions of the invention may be further processed to lower the chemical oxygen demand (COD) of the waste water stream in the fabrication facility.
  • COD chemical oxygen demand
  • formulations containing both organic solvents and inorganic biotoxic compounds such as fluorides may be treated with (1) carbon, preferably a polyvinylidene chloride (PVDC) monolith carbon having micropores less than 1 nm wide, which will "scrub" the organic solvent from the composition, (2) a metal carbonate, such as alkali or alkaline earth metal carbonate, which can react with the fluoride ions and neutralize any acid present, and/or (3) a calcium silicate, such as Ca 3 SiO 5 -Ca 2 SiO 4 -XH 2 O, which can react with the fluoride ions and neutralize any acid present.
  • the treatments may be sequential or in a one -step mixed bed approach.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Paints Or Removers (AREA)
  • Detergent Compositions (AREA)

Abstract

A removal composition and process for removing polymeric protective coating(s) from a microelectronic device having said coatings thereon. The removal composition removes the polymeric protective coating(s) from the device in a single step without substantially removing underlying layers.

Description

020732-624.866 CIP PCT (7493)
FORMULATIONS FOR CLEANING MEMORY DEVICE STRUCTURES
FIELD OF THE INVENTION
[0001] The present invention relates to compositions for removing photoresist layers from microelectronic devices, such as devices including microelectromechanical systems (MEMS), having same thereon.
DESCRIPTION OF THE RELATED ART
[0002] It is common in silicon etching processes to utilize a thin (100- to 300-nm) silicon nitride or silicon dioxide coating on the substrate as a mask for patterned etching or as a passivating layer to enclose active circuitry.
[0003] With a much lower etch rate than silicon, films of silicon nitride have been used as a protective layer or hardmask during KOH or TMAH bulk silicon etching. Disadvantageously, silicon nitride requires a high deposition temperature and is readily compromised during the etching process. Silicon dioxide has a higher etch rate than silicon nitride, therefore, it is only used as a protective/mask layer for very short etches.
[0004] Organic polymers are ideal candidates for protective coatings. The IC and MEMS industries have been using polymeric coating materials as photoresists, anti-reflective coatings, and planarization layers for many years. These materials are conveniently applied as thin films by the spin-on method and then baked or UV-cured to achieve the final coating form. Disadvantageously, non-patterned, hard-baked photoresists are readily etched in alkaline solutions. Polymethyl methacrylate was also evaluated as an etch mask for KOH, however, because of saponification of the ester group, the masking time of this polymer was found to decrease sharply from 165 minutes at 600C to 15 minutes at 900C. Black wax (Apiezon® W, available from Scientific Instrument Services, 020732-624.866 CIP PCT (7493)
Inc., New Jersey) was also used as a protective coating in a 30% by weight KOH etch process (700C). After wet etching, the wax was removed using trichloroethylene, a noted carcinogen. [0005] Spin-on protective coatings including organic polymers have been proposed and some have been found to efficaciously protect silicon materials from alkaline wet etching compositions, much in the same way that silicon nitride is known to protect silicon materials. That said, the removal of said protective coatings has remained a challenge. The removal process is preferably a single step process that does not damage underlying layers, such as metals and silicon. Moreover, the removal process preferably complies with worldwide environmental standards and has a low cost of ownership (COO). Most preferably, the removal process involves a wet etching composition that allows the user to process microelectronic device wafers in batches without substantial deposition/precipitation of the removed materials at the surface of the device wafer.
[0006] It would therefore be a significant advance in the art to provide an improved liquid composition that removes polymeric protective coatings in a single step from the surface of microelectronic device wafers having said coating material thereon.
SUMMARY QF THE INVENTION
[0007] The present invention generally relates to liquid composition that readily removes polymeric protective coatings from the surface of a microelectronic device having same thereon. The liquid removal composition comprises, consists of or consists essentially of at least one organic solvent and at least one etchant source.
[0008] In one aspect, the present invention relates to a removal composition comprising at least one organic solvent and at least one etchant source, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
[0009] In another aspect, the present invention relates to a removal composition consisting of two organic solvents and at least one etchant source, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon. 020732-624.866 CIP PCT (7493)
[0010] In still another aspect, the present invention relates to a removal composition consisting of two organic solvents, at least one etchant source, and polymeric material residue, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
[0011] Still another aspect of the present invention relates to a method of removing polymeric material from a microelectronic device having said material thereon, said method comprising contacting the microelectronic device with a removal composition for sufficient time under sufficient conditions to at least partially remove said material from the microelectronic device, wherein the removal composition includes at least one organic solvent and at least one etchant source.
[0012] Another aspect of the present invention relates to a removal composition consisting of diethylene glycol monoalkyl ether; sulfolane; and alkanolamine:HF complex, wherein the alkyl group is a Ci-C6 group, and wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
[0013] Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
DETAILED DESCRIPTION QF THE INVENTION. AND PREFERRED EMBODIMENTS
THEREOF
[0014] The present invention relates generally to liquid removal compositions that remove polymeric materials, such as spin-on protective coatings, from microelectronic devices having such materials thereon.
[0015] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, memory devices, flat panel displays, solar cells and photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term "microelectronic device" is not meant to be limiting 020732-624.866 CIP PCT (7493)
in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
[0016] As used herein, "about" is intended to correspond to ± 5 % of the stated value.
[0017] As used herein, "suitability" for removing polymeric materials from a microelectronic device having said materials thereon corresponds to at least partial removal of said materials from the microelectronic device. Preferably, at least 85% of the materials to be removed are removed from the microelectronic device using the compositions of the invention, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the materials to be removed are removed.
[0018] As defined herein, "substantially devoid" corresponds to less than about 2 wt. %, more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the composition, based on the total weight of said composition.
[0019] Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0020] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
[0021] In one aspect, the invention relates to a liquid removal composition useful in selectively removing polymeric materials, e.g., spin-on protective coatings, from a semiconductor device.
Recently, effective spin-on protective coatings have been developed that protect underlying layers from alkaline etching compositions and/or passivatingly enclose active circuitry, for example, as taught in U.S. Patent Application No. 11/428,123 filed June 30, 2006 in the name of Chenghong Li et al. entitled "Spin-On Protective Coatings for Wet-Etch Processing of Microelectronic Substrates" and
U.S. Patent Application No. 11/470,520 filed September 6, 2006 in the name of Xing-Fu Zhong et al. 020732-624.866 CIP PCT (7493)
entitled "Negative Photoresist for Silicon KOH Etch Without Silicon Nitride," both of which are incorporated by reference herein in their entireties.
[0022] One such spin-on protective coating system includes a primer layer that is applied to a microelectronic substrate surface and a photosensitive layer that is applied to the primer layer. The primer layer may include a silane dispersed or dissolved in a solvent system. The silane(s) may be selected from the group consisting of aminoalkoxysilanes, aminoalkylalkoxysilanes, phenylaminoalkylalkoxysilanes, phenylsilanes, diphenylsilanes, 3-[N- phenylaminojpropyltrimethoxysilane, N-[3-trimethoxysilyl]propylethylenediamine, and combinations thereof. More specifically, the silane(s) may include a species selected from the group consisting of aminopropyltrimethoxysilane, aminopropyltriethoxysilane, N-phenylaminopropyltrimethoxysilane, N-phenylaminopropyltriethoxysilane, 3-glycidoxypropyltrimethoxysilane, 2-(3,4- epoxycyclohexyl)ethyltrimethoxysilane, 3-mercaptopropyl-trimethoxysilane, phenyltrimethoxysilane, phenyltrichlorosilane, phenyltriethoxysilane, phenyltriacetoxysilane, diphenyldimethoxysilane, diphenyldichlorosilane, diphenylsilanediol 2-phenylethyltrialkoxysilane, p/m- chlorophenyltrimethoxysilane, p/m-bromophenyltrimethoxysilane, (p/m- chloromethyl)phenyltrimethoxysilane, 2-(p/m-methoxy)phenylethyltrimethoxysilane, 2-(p/m- chloromethyl)phenylethyltrimethoxysilane, 3 ,4-dichlorophenyltrichlorosilane, 3 - phenoxypropyltrichlorosilane, 3 -(N-phenylamino)propyltrimethoxysilane, 2-
(diphenylphosphino)ethyltriethoxysilane, 3-[N-phenylamino]propyltrimethoxysilane, N-[3- trimethoxysilyl]propylethylenediamine, and combinations thereof. The primer layer is applied such that the silane molecules condense into a continuous film on the microelectronic substrate. The photosensitive layer is formed from a polymer dispersed or dissolved in a solvent system and a photoacid generator (PAG), wherein said polymer comprises a terpolymer selected from the group consisting of styrene polymers, acrylonitrile monomers, and monomers comprising functional groups that react with amines. Monomers that comprise functional groups that react with amines include monomers comprising one or more epoxy groups such as glycidyl methacrylate, glycidyl acrylate, and vinylbenzoyl glycidyl ether. The photosensitive layer is applied such that the photosensitive layer polymer forms covalent bonds with an amine or other reactive group of the silane of the primer layer. 020732-624.866 CIP PCT (7493)
Following application, the photosensitive layer is exposed to UV light, which causes the PAG to generate an acid, said acid initiating crosslinking of the polymer (preferably via the epoxy groups) in the photosensitive layer.
[0023] In another aspect, the liquid removal composition of the present invention comprises, consists of or consists essentially of at least one etchant source and at least one organic solvent, wherein said composition is useful for the removal of polymeric coating material from a microelectronic device having same thereon.
[0024] In the broad practice of the invention, the liquid removal compositions of the invention may comprise, consist of, or consist essentially of: (i) at least one etchant source and at least one organic solvent; (ii) at least one fluoride source and at least one organic solvent; (iii) at least one etchant source, at least one organic solvent, and polymeric coating material residue; or (iv) at least one fluoride source, at least one organic solvent, and polymeric coating material residue. In each case, the liquid removal composition is preferably substantially devoid of added water. As defined herein, the polymeric coating material residue may include the aforementioned primer and/or photosensitive layers, wherein the polymeric coating material residue is suspended and/or dissolved in the liquid removal composition. Moreover, the polymeric coating material residue, whether dissolved and/or suspended, may be polymeric or monomeric in nature, wherein the monomers include at least one of the aforementioned silane(s), acrylonitrile monomers, and monomers comprising functional groups that react with amines. As defined herein, "added water" corresponds to water added by the user or the producer of the composition of the invention. Added water does not correspond to water often found in the commercial chemicals mixed together to form the composition of the invention, or hygroscopic water.
[0025] The liquid removal composition comprises, consists of or consists essentially of at least one organic solvent and at least one etchant source, present in the following ranges, based on the total weight of the composition: component of preferably (wt.%) more preferably most preferably (wt.%) (wt.%) organic solvent(s) about 50% to about about 60% to about about 80% to about
99.9% 99% 98% 020732-624.866 CIP PCT (7493)
etchant(s) about 0.1% to about about 1% to about about 2% to about 50% 40% 20%
Preferably the weight percent ratio of organic solvent to etchant is in a range from about 5:1 to about 15: 1, preferably about 7:1 to about 13:1, and most preferably about 9:1 to about 12.5:1. [0026] Importantly, the overall composition efficiently removes polymeric materials, e.g., spin-on polymeric protective coatings, from a microelectronic device while being compatible with underlying layers, e.g., metal(s) and silicon.
[0027] Etchants contemplated for use include fluorides including, but not limited to: hydrogen fluoride (HF); xenon difluoride (XeF2); fluorosilicic acid (H2SiF6); fluoroboric acid; tetrabuylammonium tetrafluoroborate (TBA-BF4); ammonium fluorosilicate ((NH4)2SiF6); tetramethylammonium hexafluorophosphate; ammonium fluoride (NH4F); tetraalkylammonium fluoride (NR4F); alkyl hydrogen fluoride (NRH3F); ammonium bifluoride (NH5F2); dialkylammonium hydrogen fluoride (NR2H2F); trialkylammonium hydrogen fluoride (NR3HF); trialkylammonium trihydrogen fluoride (NR3:3HF); anhydrous hydrogen fluoride pyridine complex; anhydrous hydrogen fluoride triethylamine complex; amine :hydrogen fluoride complexes; and combinations thereof, where R may be the same as or different from one another and is selected from the group consisting of straight-chained or branched Ci-C6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl) and where the amine includes straight-chained or branched Ci-C2O alkylamines, substituted or unsubstituted C6-Ci0 arylamines, glycolamines, alkanolamines, and amine-N-oxides including, but not limited to: pyridine; 2-ethylpyridine; 2-methoxypyridine and derivatives thereof such as 3- methoxypyridine; 2-picoline; pyridine derivatives; dimethylpyridine; piperidine; piperazine; triethylamine; triethanolamine; ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, diglycol amine; monoethanolamine; triethanolamine; aminoethylethanolamine; N-methylaminoethanol; aminoethoxyethanol; dimethylaminoethoxyethanol; diethanolamine; N-methyldiethanolamine; 1 -amino-2-propanol; isobutanolamine; tri ethyl enediamine; pyrrole; isoxazole; 1,2,4-triazole; bipyridine; pyrimidine; pyrazine; pyridazine; quinoline; isoquinoline; indole; imidazole; N-methylmorpholine-N-oxide (NMMO); trimethylamine-N-oxide; triethylamine-N-oxide; pyridine-N-oxide; N-ethylmorpholine-N-oxide; N-methylpyrrolidine-N-oxide; 020732-624.866 CIP PCT (7493)
N-ethylpyrrolidine-N-oxide; 1-methylimidazole; diisopropylamine; diisobutylamine; aniline; aniline derivatives; and combinations thereof. Preferably, the etchant comprises an alkanolamine hydrogen fluoride such as triethanolamine:HF.
[0028] Solvents useful in the compositions of the invention may be non-polar or polar in nature. Illustrative non-polar species include, but are not limited to, toluene, decane, hexane, hexanes, octane, xylenes, odorless mineral spirits (petroleum naphtha), mineral spirits (hydrotreated heavy naphtha), phenoxy-2-propanol, propriophenone, cyclohexane, perfluoro- 1,2 -dimethyl cyclobutane, perfluoro- 1,2-dimethylcyclohexane, and perfluorohexane(s). Illustrative polar solvents include, but are not limited to, methanol, ethanol, 1-propanol, isopropanol, 1-butanol, 2-butanol, 3 -methyl- 1-butanol, allyl alcohol, and higher alcohols (including diols, triols, etc.), 2,2,3,3 ,4,4,5,5-octafluoro-l-pentanol, lH,lH,9H-perfluoro-l-nonanol, perfluoroheptanoic acid, lH,lH,7H-dodecafluoro-l-heptanol, perfluoropentanoic acid, lH,lH,8H,8H-dodecafluoro-l,8-octanediol, 2,2,3,3,4,4,5,5-octafluoro-l,6- hexanediol, 5H-perfluoropentanoic acid, n-butyl heptafiuorobutyrate, halogenated alcohols (such as 3- chloro-l,2-propanediol, 3-chloro-l-propanethiol, 1 -chloro-2-propanol, 2-chloro-l-propanol, 3-chloro- 1-propanol, 3-bromo-l,2-propanediol, l-bromo-2-propanol, 3-bromo-l-propanol, 3-iodo-l -propanol, 4-chloro- 1-butanol, 2-chloroethanol), tetrahydrofuran (THF), N-methylpyrrolidinone (NMP), N- octylpyrrolidinone, N-phenylpyrrolidinone, methyl formate, ethyl formate, propyl formate, butyl formate, 2-butanone, 3-pentanone, dimethyl formamide (DMF), dimethylsulfoxide (DMSO), dimethyl sulfide, ethanethiol, tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis(2- hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, diethyl ether, ethyl lactate, ethyl acetate, propyl acetate, isobutyl acetate, methyl butanoate, ethyl butanoate, ethyl benzoate, acetonitrile, methyl isobutyl ketone, methyl ethyl ketone, methyl propyl ketone, acetone, ethylene glycol, propylene glycol, amphiphilic species (e.g., diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol 020732-624.866 CIP PCT (7493)
ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether), dioxane, butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and combinations thereof. Preferably, the solvent includes diethylene glycol monobutyl ether, sulfolane, and combinations thereof.
[0029] In various preferred embodiments, the compositions of the invention are formulated in the following Formulations A-F, wherein all percentages are by weight, based on the total weight of the formulation:
Formulation A: 70 wt% diethylene glycol monobutyl ether; 20 wt% sulfolane; 10 wt% triethanolamine:HF (73% with 27 wt% water)
Formulation B: 80 wt% diethylene glycol monomethyl ether; 20 wt% triethanolamine:HF (73%)
Formulation C: 70 wt% diethylene glycol monomethyl ether; 20 wt% sulfolane; 10 wt% triethanolamine:HF (73%)
Formulation D: 80 wt% sulfolane; 20 wt% triethanolamine:HF (73%) Formulation E: 80 wt% NMP; 20 wt% triethanolamine:HF (73%) Formulation F: 80 wt% DMSO; 20 wt% triethanolamine:HF (73%)
[0030] In a preferred embodiment, the removal composition of the invention comprises, consists of or consists essentially of diethylene glycol monoalkyl ether; sulfolane; and alkanolamine:HF, wherein the alkyl group is a Ci-C6 (e.g., methyl, ethyl, propyl, butyl, pentyl or hexyl) group and the alkanolamine comprises a species selected from the group consisting of monoethanolamine; triethanolamine; aminoethylethanolamine; N-methylaminoethanol; aminoethoxyethanol; dimethylaminoethoxyethanol; diethanolamine; N-methyldiethanolamine; 1 -amino-2-propanol; and isobutanolamine. In a preferred embodiment, the removal composition of the invention comprises, consists of or consists essentially of diethylene glycol monobutyl ether; sulfolane; and triethanolamine:HF. In yet another preferred embodiment, the removal composition of the invention comprises, consists of or consists essentially of about 60 to about 80 wt% diethylene glycol monobutyl ether; about 15 to about 25 wt% sulfolane; and about 5 to about 15 wt% 020732-624.866 CIP PCT (7493)
triethanolamine:HF (which may have a percentage of water present as a result of the manufacturing of the TEA:HF complex), based on the total weight of the composition. In a particularly preferred embodiment, the removal composition of the invention includes about 70 wt% diethylene glycol monobutyl ether; about 20 wt% sulfolane; and about 10 wt% triethanolamine:HF (73%), based on the total weight of the composition. In each embodiment the composition may further comprise, consist of or consist essentially of polymeric coating material residue. The polymeric coating material residue, whether dissolved and/or suspended in the composition, may include at least one compound, whether monomeric or polymeric, of the aforementioned primer layer, photosensitive layer, or combinations thereof.
[0031] In another embodiment of the invention, a concentrated liquid removal composition is provided that can be diluted for use as a removal solution. A concentrated composition, or "concentrate," advantageously permits a user, e.g. a process engineer, to dilute the concentrate at the point of use. Dilution of the concentrated removal composition may be in a range from about 0.1 :1 to about 1000:1, wherein the removal composition is diluted at or just before the tool with at least one organic solvent. For example, a concentrate may be formulated including the etchant and at least one organic solvent for shipping to the fab. At the fab, the user may dilute the concentrate with the at least one organic solvent or a different organic solvent.
[0032] The liquid removal compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the liquid removal compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the liquid removal composition, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid removal compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. 020732-624.866 CIP PCT (7493)
[0033] Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, at least one organic solvent and at least one etchant for immediate use at the fab or the point of use. Alternatively, the kit may include, in one or more containers, at least one organic solvent and at least one etchant, for combining with at least one organic solvent at the fab or the point of use, wherein the at least one organic solvent in the container and the at least the one organic solvent added at the fab or point of use may be the same as or different from one another. The containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.
[0034] Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range 020732-624.866 CIP PCT (7493)
from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
[0035] Regarding the containers for the kits of the invention, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Patent No. 7,188,644 entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;" U.S. Patent No. 6,698,619 entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;" and U.S. Patent Application No. 60/916,966 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2007 in the name of John E.Q. Hughes.
[0036] As applied to microelectronic device manufacturing operations, the liquid removal compositions of the present invention are usefully employed to remove polymeric protective coating, e.g., spin-on protective coatings, from the surface of the microelectronic device. Importantly, the liquid removal compositions of the invention are compatible with the underlying layers, e.g., metal(s) and silicon, also present on the microelectronic device. Preferably the liquid removal compositions remove at least 85 % of the polymeric protective coatings present on the device to be removed, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99% of the polymeric protective coatings to be removed are removed from the surface of the microelectronic device.
[0037] As such, in yet another aspect, the invention relates to methods of removal of polymeric protective coatings, e.g., spin-on protective coatings, from a microelectronic device using the liquid removal compositions described herein. It should be appreciated by one skilled in the art that the compositions described herein may be used in a one-step or multi-step removal process. Preferably, the polymeric protective coatings are removed in a single step process.
[0038] The liquid removal compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation. 020732-624.866 CIP PCT (7493)
[0039] In the removal application, the liquid removal composition is applied in any suitable manner to the microelectronic device having polymeric protective coating thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the polymeric protective coating, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, by contacting the device including the polymeric protective coating with a circulating composition, or by any other suitable means, manner or technique, by which the liquid removal composition is brought into contact with the polymeric protective coating on the microelectronic device. The removal application may be static and/or dynamic, as readily determined by one skilled in the art. Moreover, the process may be for a batch or single wafer system. Following contact of the liquid removal composition with the polymeric protective coating, the liquid removal composition will further include the aforementioned polymeric protective coating, which may be suspended and/or dissolved in said removal composition. [0040] In use of the compositions of the invention for removing polymeric protective coatings from microelectronic devices having same thereon, the liquid removal composition typically is contacted with the device for a sufficient time of from about 1 min to about 60 minutes, preferably about 5 min to 40 min, and most preferably about 10 min to about 30 min, at sufficient conditions such as temperature in a range of from about 200C to about 1500C, preferably about 60-900C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the polymeric coatings from the device, within the broad practice of the invention. "At least partially remove" corresponds to at removal of at least 90% of the polymeric protective coating present on the device prior to removal as described herein, more preferably at least 95%, and most preferably at least 99%. [0041] Following the achievement of the desired cleaning action, the liquid removal composition may be readily removed, e.g., rinsed, from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions of the present invention. For example, the device may be rinsed with methanol, isopropanol, ethylene glycol, water, a 020732-624.866 CIP PCT (7493)
water/surfactant mixture, or combinations thereof. Thereafter, the device may be dried using nitrogen, a spin-dry cycle, or vapor-dry.
[0042] It will be appreciated that specific contacting conditions for the liquid removal compositions of the invention are readily determinable within the skill of the art, based on the disclosure herein, and that the specific proportions of ingredients and concentrations of ingredients in the compositions of the invention may be widely varied while achieving desired removal of the polymeric protective coatings on the microelectronic device surface.
[0043] Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices. [0044] A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a liquid removal composition for sufficient time to remove polymeric protective coatings from the microelectronic device having said polymeric protective coatings thereon, and incorporating said microelectronic device into said article, wherein the removal composition comprises, consists of or consists essentially of at least one organic solvent and at least one etchant source. The liquid removal composition may further comprise, consist of or consist essentially of polymeric coating material. [0045] Another aspect of the invention relates to an article of manufacture comprising, consisting of or consisting essentially of a microelectronic device substrate, at least one of a primer layer and a photosensitive layer deposited on said substrate, and a liquid removal composition comprising, consisting of or consisting essentially of at least one organic solvent and at least one etchant source. In one embodiment of this aspect, the liquid removal composition comprises, consists of or consists essentially of diethylene glycol monobutyl ether; sulfolane; and triethanolamine:HF. [0046] In still another aspect, the invention relates to a method of removing polymeric protective coatings from microelectronic devices having same thereon, said method further comprising contacting a surface of the microelectronic device subsequent to polymeric protective coating removal with a rework composition to make said surface hydrophilic, wherein native oxides grow on said 020732-624.866 CIP PCT (7493)
surface in the presence of the rework composition. Rework compositions include compositions including hydrogen peroxide and other peroxides as well as gases including oxygen and ozone. [0047] Following processing, the compositions of the invention may be further processed to lower the chemical oxygen demand (COD) of the waste water stream in the fabrication facility. For example, formulations containing both organic solvents and inorganic biotoxic compounds such as fluorides may be treated with (1) carbon, preferably a polyvinylidene chloride (PVDC) monolith carbon having micropores less than 1 nm wide, which will "scrub" the organic solvent from the composition, (2) a metal carbonate, such as alkali or alkaline earth metal carbonate, which can react with the fluoride ions and neutralize any acid present, and/or (3) a calcium silicate, such as Ca3SiO5-Ca2SiO4-XH2O, which can react with the fluoride ions and neutralize any acid present. The treatments may be sequential or in a one -step mixed bed approach. The waste water stream of the fab should be exposed to the treatment(s) until the COD is lowered to promulgated acceptable levels. [0048] The features and advantages of the invention are more fully illustrated by the following non- limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.
Example 1
[0049] A silicon substrate having a polymeric protective coating comprising a silane primer layer and photosensitive layer, as described herein, was statically immersed in Formulation A for 30 minutes at 800C. Following immersion, the device substrate was rinsed with deionized water and dried using nitrogen gas. Scanning electron micrographs of the dried device substrate show that the primer and photosensitive layers were removed in a single step with negligible pitting of the underlying silicon and no observable particulate material remaining on the surface.
[0050] Corrosion measurements were performed whereby wafers including blanketed Cu, Al, Ni and Si were immersed in formulation A at 800C and Tafel curves were used to determine corrosion rates of said blanketed materials. The corrosion rates of Cu, Al, Ni and Si were determined to be, in A min" ', 4.59, 5.12, 7.33 and 1.63, respectively. This demonstrates compatibility of the formulations for the underlying materials of the microelectronic device. 020732-624.866 CIP PCT (7493)
[0051] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims

020732-624.866 CIP PCT (7493)THE CLAIMSWhat is claimed is:
1. A removal composition comprising at least one organic solvent and at least one etchant source, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
2. The removal composition of claim 1, wherein the at least one etchant comprises a fluoride source.
3. The removal composition of claim 2, wherein at least one fluoride source comprises a species selected from the group consisting of hydrogen fluoride, fluorosilicic acid (H2SiF6); fluoroboric acid; tetrabuylammonium tetrafluoroborate (TBA-BF4); ammonium fluorosilicate ((NH4)2SiF6); tetramethylammonium hexafluorophosphate; ammonium fluoride, tetraalkylammonium fluoride, alkyl hydrogen fluoride, ammonium hydrogen bifluoride (NH5F2), dialkylammonium hydrogen fluoride, trialkylammonium hydrogen fluoride, trialkylammonium trihydrogen fluoride, pyridine -HF complex, dimethylpyridine-HF complex, 2-ethylpyridine-HF complex, 2-methoxypyridonde-HF complex, 2- picoline-HF complex, pyridine derivative-HF complex, piperidine-HF complex, piperazine-HF complex, triethylamine-HF complex, triethanolamine-HF complex, PMDETA-HF complex, diglycol amine -HF complex, monoethanolamine-HF complex, pyrrole-HF complex, isoxazole-HF complex, 1,2,4-triazole-HF complex, bipyridine-HF complex, pyrimidine-HF complex, pyrazine-HF complex, pyridazine-HF complex, quinoline-HF complex, isoquinoline-HF complex, indole-HF complex, imidazole-HF complex, ethylamine-HF complex, methylamine-HF complex, isobutylamine-HF complex, tert-butylamine-HF complex, tributylamine-HF complex, dipropylamine-HF complex, dimethylamine-HF complex, 1 -methylimidazole-HF complex, diisopropylamine-HF complex, diisobutylamine-HF complex, aniline -HF complex, aniline derivative-HF complex, N- 020732-624.866 CIP PCT (7493)
methylmorpholine-N-oxide (NMMO)-HF complex, trimethylamine-N-oxide-HF complex, triethylamine-N-oxide-HF complex, pyridine -N-oxide-HF complex, N-ethylmorpholine-N-oxide-HF complex, N-methylpyrrolidine-N-oxide-HF complex, N-ethylpyrrolidine-N-oxide-HF complex, xenon difluoride (XeF2), and combinations thereof.
4. The removal composition of claim 2, wherein the fluoride source comprises triethanolamine:HF complex.
5. The removal composition of claim 1, wherein the at least one organic solvent comprises a species selected from the group consisting of substituted aliphatic alkanes, unsubstituted aliphatic alkanes, substituted cyclic alkanes, unsubstituted cyclic alkanes, aromatics, alcohols, diols, triols, halogenated alcohols, glycol ethers, carbonates, amides, pyrrolidinones, formates, acetates, ketones, glycols, and combinations thereof.
6. The removal composition as in any of claims 1 -4, wherein the at least one organic solvent comprises a species selected from the group consisting of toluene, decane, hexane, hexanes, octane, xylenes, odorless mineral spirits (petroleum naphtha), mineral spirits (hydrotreated heavy naphtha), phenoxy-2-propanol, propriophenone, cyclohexane, perfluoro- 1,2 -dimethyl cyclobutane, perfluoro- 1,2-dimethylcyclohexane, perfluorohexane(s), methanol, ethanol, 1-propanol, isopropanol, 1-butanol, 2-butanol, 3 -methyl- 1-butanol, allyl alcohol, pentanol, diols, triols, 2,2,3,3,4,4,5,5-octafluoro-l- pentanol, lH,lH,9H-perfluoro-l-nonanol, perfluoroheptanoic acid, lH,lH,7H-dodecafiuoro-l- heptanol, perfluoropentanoic acid, lH,lH,8H,8H-dodecafluoro-l,8-octanediol, 2,2,3,3,4,4,5,5- octafiuoro-l,6-hexanediol, 5H-perfluoropentanoic acid, n-butyl heptafluorobutyrate, 3-chloro-l,2- propanediol, 3-chloro-l-propanethiol, 1 -chloro-2-propanol, 2-chloro- 1-propanol, 3 -chloro- 1-propanol, 3-bromo-l,2-propanediol, 1 -bromo-2-propanol, 3 -bromo- 1-propanol, 3-iodo-l-propanol, 4-chloro-l- butanol, 2-chloroethanol, tetrahydrofuran (THF), N-methylpyrrolidinone (NMP), N- octylpyrrolidinone, N-phenylpyrrolidinone, methyl formate, ethyl formate, propyl formate, butyl formate, 2-butanone, 3-pentanone, dimethyl formamide (DMF), dimethylsulfoxide (DMSO), dimethyl 020732-624.866 CIP PCT (7493)
sulfide, ethanethiol, tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis(2- hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, diethyl ether, ethyl lactate, ethyl acetate, propyl acetate, isobutyl acetate, methyl butanoate, ethyl butanoate, ethyl benzoate, acetonitrile, methyl isobutyl ketone, methyl ethyl ketone, methyl propyl ketone, acetone, ethylene glycol, propylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n- butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether), dioxane, butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, acetic acid, trifluoroacetic acid, and combinations thereof.
7. The removal composition as in any of claims 1-4, wherein the organic solvent comprises diethylene glycol monomethyl ether and sulfolane.
8. The removal composition as in any of claims 1-4, wherein the composition is substantially devoid of added water.
9. The removal composition of claim 1, comprising diethylene glycol monomethyl ether, sulfolane and triethanolamine:HF complex.
10. The removal composition of claim 1 , further comprising polymeric material residue.
11. The removal composition of claim 1, wherein the polymeric material residue comprises species selected from the group consisting of aminoalkoxysilanes, aminoalkylalkoxysilanes, phenylaminoalkylalkoxysilanes, phenylsilanes, diphenylsilanes, 3-[N- 020732-624.866 CIP PCT (7493)
phenylamino]propyltrimethoxysilane, N-[3-trimethoxysilyl]propylethylenediamine, styrene polymers, acrylonitrile monomers, monomers comprising functional groups that react with amines, and combinations thereof.
12. A removal composition consisting of two organic solvents and at least one etchant source, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
13. The removal composition of claim 12, wherein the composition is substantially devoid of added water.
14. The removal composition of claim 12, consisting of diethylene glycol monomethyl ether, sulfolane and triethanolamine:HF complex.
15. A removal composition consisting of two organic solvents, at least one etchant source, and polymeric material residue, wherein said removal composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
16. The removal composition of claim 15, wherein the composition is substantially devoid of added water.
17. The removal composition of claim 15, consisting of diethylene glycol monomethyl ether, sulfolane, triethanolamine:HF complex, and polymeric material residue.
18. The removal composition as in any of claims 15-17, wherein the polymeric material residue comprises species selected from the group consisting of aminoalkoxysilanes, aminoalkylalkoxysilanes, phenylaminoalkylalkoxysilanes, phenylsilanes, diphenylsilanes, 3-[N- phenylamino]propyltrimethoxysilane, N-[3-trimethoxysilyl]propylethylenediamine, styrene polymers, acrylonitrile monomers, monomers comprising functional groups that react with amines, and combinations thereof. 020732-624.866 CIP PCT (7493)
19. A method of removing polymeric material from a microelectronic device having said material thereon, said method comprising contacting the microelectronic device with a removal composition for sufficient time under sufficient conditions to at least partially remove said material from the microelectronic device, wherein the removal composition includes at least one organic solvent and at least one etchant source.
20. The method of claim 19, wherein said contacting comprises conditions selected from the group consisting of: time of from about 1 minute to about 60 minutes; temperature in a range of from about 200C to about 1500C; and combinations thereof.
21. The method of claim 19, wherein the etchant source comprises at least one fluoride source.
22. The method of claim 21, wherein the wherein at least one fluoride source comprises a species selected from the group consisting of hydrogen fluoride, fiuorosilicic acid (H2SiF6); fluoroboric acid; tetrabuylammonium tetrafluoroborate (TBA-BF4); ammonium fluorosilicate ((NH4)2SiF6); tetramethylammonium hexafluorophosphate; ammonium fluoride, tetraalkylammonium fluoride, alkyl hydrogen fluoride, ammonium hydrogen bifluoride (NH5F2), dialkylammonium hydrogen fluoride, trialkylammonium hydrogen fluoride, trialkylammonium trihydrogen fluoride, pyridine -HF complex, dimethylpyridine-HF complex, 2-ethylpyridine-HF complex, 2-methoxypyridonde-HF complex, 2- picoline-HF complex, pyridine derivative-HF complex, piperidine-HF complex, piperazine-HF complex, triethylamine-HF complex, triethanolamine-HF complex, PMDETA-HF complex, diglycol amine -HF complex, monoethanolamine-HF complex, pyrrole-HF complex, isoxazole-HF complex, 1,2,4-triazole-HF complex, bipyridine-HF complex, pyrimidine-HF complex, pyrazine-HF complex, pyridazine-HF complex, quinoline-HF complex, isoquinoline-HF complex, indole-HF complex, imidazole-HF complex, ethylamine-HF complex, methylamine-HF complex, isobutylamine-HF complex, tert-butylamine-HF complex, tributylamine-HF complex, dipropylamine-HF complex, dimethylamine-HF complex, 1 -methylimidazole-HF complex, diisopropylamine-HF complex, diisobutylamine-HF complex, aniline -HF complex, aniline derivative-HF complex, N- 020732-624.866 CIP PCT (7493)
methylmorpholine-N-oxide (NMMO)-HF complex, trimethylamine-N-oxide-HF complex, triethylamine-N-oxide-HF complex, pyridine -N-oxide-HF complex, N-ethylmorpholine-N-oxide-HF complex, N-methylpyrrolidine-N-oxide-HF complex, N-ethylpyrrolidine-N-oxide-HF complex, xenon difluoride (XeF2), and combinations thereof; and
wherein the at least one organic solvent comprises a species selected from the group consisting of alcohols, diols, triols, glycol ethers, carbonates, amides, pyrrolidinones, formates, acetates, ketones, glycols, and combinations thereof.
23. The method of claim 19, further comprising rinsing the microelectronic device with a rinsing composition.
24. The method of claim 23, wherein the rinsing composition comprises water.
25. The method of claim 23, further comprising drying the microelectronic device following contact with the rinsing composition.
26. The method of claim 23, wherein the removal composition further comprises polymeric material residue.
27. The method of claim 26, wherein the polymeric material residue comprises species selected from the group consisting of aminoalkoxysilanes, aminoalkylalkoxysilanes, phenylaminoalkylalkoxysilanes, phenylsilanes, diphenylsilanes, 3-[N- phenylamino]propyltrimethoxysilane, N-[3-trimethoxysilyl]propylethylenediamine, styrene polymers, acrylonitrile monomers, monomers comprising functional groups that react with amines, and combinations thereof.
28. A removal composition consisting of diethyl ene glycol monoalkyl ether; a sulfone compound; and alkanolamine:HF complex, wherein the alkyl group is a CrC6 group, and wherein said removal 020732-624.866 CIP PCT (7493)
composition is suitable for removing polymeric material from a microelectronic device having said material thereon.
29. The removal composition of claim 28, wherein the alkanolamine comprises a species selected from the group consisting of monoethanolamine; triethanolamine; amino ethyl ethanolamine; N- methylaminoethanol; aminoethoxyethanol; dimethylaminoethoxyethanol; diethanolamine; N- methyldiethanolamine; l-amino-2-propanol; and isobutanolamine.
30. The removal composition of claims 28 or 29, wherein the sulfone compound comprises a species selected from the group consisting of tetramethylene sulfone (sulfolane), dimethyl sulfone, diethyl sulfone, bis(2-hydroxyethyl) sulfone, methyl sulfolane, ethyl sulfolane, and combinations thereof.
31. The removal composition of claims 28, wherein the amount of diethylene glycol monoalkyl ether is in a range from about 60 to about 80 wt.%, the amount of sulfone compound is in a range from about 15 to about 25 wt.%, and the amount of alkanolamine:HF complex is in a range from about 5 to about 15 wt.%, based on the total weight of the composition.
PCT/US2008/085111 2007-11-30 2008-12-01 Formulations for cleaning memory device structures WO2009073596A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99126207P 2007-11-30 2007-11-30
US60/991,262 2007-11-30

Publications (2)

Publication Number Publication Date
WO2009073596A2 true WO2009073596A2 (en) 2009-06-11
WO2009073596A3 WO2009073596A3 (en) 2009-08-27

Family

ID=40718472

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/085111 WO2009073596A2 (en) 2007-11-30 2008-12-01 Formulations for cleaning memory device structures

Country Status (2)

Country Link
TW (1) TW200934865A (en)
WO (1) WO2009073596A2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012174518A2 (en) * 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US20230136591A1 (en) * 2021-10-29 2023-05-04 Korea Institute Of Science And Technology Mxene with excellent mechanical strength and fast and high-yield anhydrous synthesis method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
WO2006110645A2 (en) * 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20060240181A1 (en) * 2004-01-16 2006-10-26 Chenghong Li Spin-on protective coatings for wet-etch processing of microelectronic substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
US20060240181A1 (en) * 2004-01-16 2006-10-26 Chenghong Li Spin-on protective coatings for wet-etch processing of microelectronic substrates
WO2006110645A2 (en) * 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
WO2012174518A3 (en) * 2011-06-16 2013-04-25 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
WO2012174518A2 (en) * 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US9175404B2 (en) 2011-09-30 2015-11-03 Advanced Technology Materials, Inc. Etching agent for copper or copper alloy
US9790600B2 (en) 2011-09-30 2017-10-17 Entegris, Inc. Etching agent for copper or copper alloy
US10392560B2 (en) 2011-12-28 2019-08-27 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US20230136591A1 (en) * 2021-10-29 2023-05-04 Korea Institute Of Science And Technology Mxene with excellent mechanical strength and fast and high-yield anhydrous synthesis method thereof

Also Published As

Publication number Publication date
WO2009073596A3 (en) 2009-08-27
TW200934865A (en) 2009-08-16

Similar Documents

Publication Publication Date Title
WO2009073596A2 (en) Formulations for cleaning memory device structures
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
KR102153113B1 (en) Cleaning formulations for removing residues on surfaces
KR100620260B1 (en) A cleaning composition and a method for removing residues from a substrate using the same
US20090120457A1 (en) Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
KR100610387B1 (en) Silicate-containing alkaline compositions for cleaning microelectronic substrates
JP4741315B2 (en) Polymer removal composition
JP5886946B2 (en) Semi-water soluble polymer removal composition with enhanced compatibility for copper, tungsten and porous low-κ dielectrics
KR20130088847A (en) Aqueous cleaner for the removal of post-etch residues
EP1749087A2 (en) Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
CA2590325A1 (en) Resist, barc and gap fill material stripping chemical and method
TW200846462A (en) Liquid cleaner for the removal of post-etch residues
WO2004042472A2 (en) Supercritical carbon dioxide/chemical formulation for removal of photoresists
KR20080091844A (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
TWI821455B (en) Post chemical mechanical polishing cleaning compositions
US10133180B2 (en) Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
TWI752528B (en) Cleaning composition for semiconductor substrates
KR20210126782A (en) Etching solution and method for selectively removing silicon nitride during fabrication of semiconductor devices
CN103809394B (en) Cleaning solution for removing photoresist etching residues
JP4122171B2 (en) Resist residue remover or cleaning agent for semiconductor device or liquid crystal device manufacturing process
KR20010042461A (en) Method for removing photoresist and plasma etch residues
TWI537378B (en) Residue cleaning solution after plasma etching and ashing
TW202403032A (en) Cleaning compositions
TW202330894A (en) Microelectronic device cleaning composition

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08857892

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase in:

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08857892

Country of ref document: EP

Kind code of ref document: A2