WO2008042695A2 - Semiconductor devices containing nitrided high dielectric constant films and method of forming - Google Patents

Semiconductor devices containing nitrided high dielectric constant films and method of forming Download PDF

Info

Publication number
WO2008042695A2
WO2008042695A2 PCT/US2007/079681 US2007079681W WO2008042695A2 WO 2008042695 A2 WO2008042695 A2 WO 2008042695A2 US 2007079681 W US2007079681 W US 2007079681W WO 2008042695 A2 WO2008042695 A2 WO 2008042695A2
Authority
WO
WIPO (PCT)
Prior art keywords
nitrogen
oxygen
film
containing film
substrate
Prior art date
Application number
PCT/US2007/079681
Other languages
French (fr)
Other versions
WO2008042695A3 (en
Inventor
Robert D. Clark
Original Assignee
Tokyo Electron Limited
Tokyo Electron America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/537,245 external-priority patent/US7767262B2/en
Priority claimed from US11/537,492 external-priority patent/US20080079111A1/en
Application filed by Tokyo Electron Limited, Tokyo Electron America, Inc. filed Critical Tokyo Electron Limited
Publication of WO2008042695A2 publication Critical patent/WO2008042695A2/en
Publication of WO2008042695A3 publication Critical patent/WO2008042695A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02156Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • H01L29/945Trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Definitions

  • the present invention relates to nitrided high dielectric constant materials for semiconductor devices, and to a method of forming nitrided high dielectric constant films having a nitrogen gradient across a thickness of the films.
  • SiOz thermal silicon oxide
  • SiON silicon oxynitride
  • high- dielectric constant (high-k) materials are being implemented as gate dielectric films to replace or supplement Si ⁇ 2 and SiON films.
  • high-k dielectric materials under evaluation suffer from various problems, such as film crystallization during anneals, growth of interfacial layers during film deposition and further processing, high density of interface traps, reduced channel mobiiity, reaction with poly-silicon gates, and Fermi levei pinning with metal gates.
  • high-k dielectric materials have dielectric constants that are lower than is desired for many advanced semiconductor devices. Additionally, the dielectric constant of the high-k dielectric materials is lowered by the presence of an interracial layer formed between the high-k dielectric material and the underlying substrate.
  • Nitrogen-incorporation into high-k dielectric materials may reduce formation of the interfacial layer between the high-k dielectric material and the underlying substrate and may further reduce dopant penetration into the high-k dielectric material. Nitrogen-incorporation into high-k dielectric materials is commonly performed by post-deposition plasma processing but this can be more difficult than for conventional silicon-based dielectric materials and may cause plasma damage of the high-k dielectric material.
  • Embodiments of the invention provide a device having a nitrided high-k film with a nitrogen gradient across a thickness of the film, and a method of forming such nitrided high-k film.
  • the nitrided high-k films may be deposited by atomic layer deposition (ALD) or plasma-enhanced ALD (PEALD).
  • ALD atomic layer deposition
  • PEALD plasma-enhanced ALD
  • the nitrided high-k films may be used in advanced semiconductor devices that include capacitors and transistors.
  • the semiconductor device contains a substrate, and a nitrided high-k film on the substrate.
  • the nitrided high-k film contains an oxygen-containing film and a nitrogen-containing film that is oxidized through at least a portion of the thickness thereof.
  • the nitrogen-containing film and the oxygen-containing film contain the same one or more meta! elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table.
  • the high-k film can optionally further contain aluminum, silicon, or aluminum and silicon.
  • the method includes disposing a substrate in a process chamber, and forming a nitrided high-k film on the substrate by a) depositing a nitrogen-containing film, and b) depositing an oxygen- containing film, where steps a) and b) are performed, in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen-containing film.
  • the nitrogen-containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
  • the nitrogen-containing film and the oxygen-containing film each contain hafnium, optionally one or more additional metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
  • FIG. 1 A depicts a schematic view of an ALD processing system in accordance with an embodiment of the invention
  • FiG. 1 B depicts a schematic view of a PEALD processing system in accordance with an embodiment of the invention
  • FIGS. 2A - 2E schematically illustrate pulse sequences for forming nitrided high-k films according to embodiments of the invention.
  • FIG. 3 is a process flow diagram for forming nitrided high-k films according to embodiments of the invention.
  • FIGS. 4A and 4B schematically show cross-sectiona! views of semiconductor devices containing nitrided high-k gate dielectric films according to embodiments of the invention.
  • Nitrided dielectric materials such as hafnium based dielectric materials are likely to provide beneficial thermal and electrical characteristics for future high-k applications in semiconductor devices. Expected benefits of these dielectric materials include increased thermal stability in contact with silicon or metal gate electrode material, decreased dopant diffusion, increased crystallization temperature, increased dielectric constant compared to non-nitrided materials, decreased density of interface traps, decreased threshold voltage shifts and Fermi level pinning, and improved processing characteristics. For example, these dielectric material films can be used in applications that include future generations of high-k dielectric materials for use as both capacitor and transistor gate dielectrics.
  • Embodiments of the invention provide a method for nitrogen profile engineering in nitrided high-k films, in particular to forming nitrided high-k films having a nitrogen gradient across a thickness of the film.
  • the method can provide different nitrogen -profiles in the nitrided high-k films that are expected to be beneficial for device characteristics.
  • the nitrided high-k films contain an oxygen- containing film, and a nitrogen-containing film that is at least partially oxidized during the deposition of the oxygen-containing film onto the nitrogen-containing film, or oxidized during, or after deposition of the nitrogen-containing film by additional processing within the process chamber.
  • the additional processing can include exposing the substrate to an oxygen-containing gas.
  • a nitrided high-k film can contain an oxygen-containing film deposited onto a substrate and a nitrogen-containing film deposited onto the oxygen-containing film.
  • a nitrided high-k film can contain nitrogen-containing film deposited onto a substrate and an oxygen-containing film deposited onto the nitrogen-containing film.
  • the nitrided high-k film can contain a plurality of alternating oxygen-containing films and nitrogen-containing films.
  • the nitrided high-k films can contain one or more metal elements selected from alkaline earth elements (Be, Mg, Ca, Sr, Ba, and Ra), rare earth elements (scandium, yttrium, lanthanum of Group HB, and the 14 lanthanides that fill the 4f electron shell), and Group iVB elements (Ti, Zr, and Hf) of the Periodic Table.
  • the nitrided high-k films may further contain aluminum, silicon, or both aluminum and silicon.
  • FIG. 1 A illustrates an ALD processing system 1 for depositing nitrided high-k films on a substrate according to one embodiment of the invention.
  • the ALD processing system 1 includes a process chamber 10 having a substrate holder 20 configured to support a substrate 25, upon which the nitrided high-k film is formed.
  • the process chamber 10 further contains an assembly 30 ⁇ e.g., a showerhead) coupled to a first process material supply system 40, a second process material supply system 42, a purge gas supply system 44, an oxygen-containing gas supply system 46, a nitrogen-containing gas supply system 48, an aluminum-containing gas supply system 50, and a silicon-containing gas supply system 62.
  • an assembly 30 ⁇ e.g., a showerhead
  • the ALD processing system 1 includes a substrate temperature control system 60 coupled to substrate holder 20 and configured to elevate and control the temperature of substrate 25. Furthermore, the ALD processing system 1 includes a controller 70 that can be coupled to the process chamber 10, substrate holder 20, assembly 30 configured for introducing process gases into the process chamber 10, first process material supply system 40, second process material supply system 42, purge gas supply system 44, oxygen-containing gas supply system 46, nitrogen-containing gas supply system 48, aluminum- containing gas supply system 50, silicon-containing gas supply system 62, and substrate temperature control system 60.
  • controller 70 can be coupled to one or more additional controllers/computers (not shown), and controller 70 can obtain setup and/or configuration information from an additional controller/computer.
  • additional controllers/computers not shown
  • controller 70 can obtain setup and/or configuration information from an additional controller/computer.
  • FIG. 1 A singular processing elements (10, 20, 30, 40, 42, 44, 46, 48, 50, 60, and 62) are shown, but this is not required for the invention.
  • the ALD processing system 1 can include any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • the controller 70 can be used to configure any number of processing elements (10, 20, 30, 40, 42, 44, 46, 48, 50, 60, and 62), and the controller 70 can collect, provide, process, store, and display data from processing elements.
  • the controller 70 can comprise a number of applications for controlling one or more of the processing elements.
  • controller 70 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • GUI graphic user interface
  • the ALD processing system 1 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates.
  • the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Therefore, while aspects of the invention will be described in connection with the processing of a semiconductor substrate, the invention is not limited solely thereto. Alternately, a batch ALD processing system capable of processing multiple substrates simultaneously may be utilized for depositing the nitrided high-k films described in the embodiments of the invention.
  • the first process materia! supply system 40 and the second process material supply system 42 are configured to alternately or simultaneously introduce metai-containing precursors containing one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table.
  • each of the first process material supply system 40 and the second process material supply system 42 may each be configured to alternately or simultaneously introduce a plurality of metai-containing precursors to the process chamber 10, where the plurality of metal- containing precursors contain different metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements. [0027] According to embodiments of the invention, several methods may be utilized for introducing the metal-containing precursors to the process chamber 10.
  • One method includes vaporizing precursors through the use of separate bubblers or direct liquid injection systems, or a combination thereof, and then mixing in the gas phase within or prior to introduction into the process chamber 10.
  • a desired meta! element stoichiometry can be attained within the deposited nitrided high-k film.
  • Another method of delivering each metal-containing precursor includes separately controlling two or more different liquid sources, which are then mixed prior to entering a common vaporizer. This method may be utilized when the metal- containing precursors are compatible in solution or in liquid form and they have similar vaporization characteristics.
  • Other methods include the use of compatible mixed solid or liquid precursors within a bubbler.
  • Liquid source precursors may include neat liquid metal-containing precursors, or solid or liquid metal-containing precursors that are dissolved in a compatible solvent.
  • suitable solvents include, but are not limited to, ionic liquids, hydrocarbons (aliphatic, olefins, and aromatic), amines, esters, glymes, crown ethers, ethers and polyethers. In some cases it may be possible to dissolve one or more compatible solid precursors in one or more compatible liquid precursors. It will be apparent to one skilled in the art that by controiling the relative concentration levels of the various precursors within a gas pulse, it is possible to deposit mixed metai-containing films with desired stoichiometries.
  • Embodiments of the inventions may utilize a wide variety of different alkaline earth precursors.
  • many alkaline earth precursors have the formula:
  • L 1 and L 2 are individual anionic ligands
  • D is a neutral donor Sigand where x can be 0, 1 , 2, or 3.
  • Each L 1 , L 2 ligand may be individually selected from the groups of alkoxides, halides, aryioxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, ⁇ - diketonates, ketoiminates, silanoates, and carboxySates.
  • D ligands may be selected from groups of ethers, furans, pyridines, pyroles, pyrolidines, amines, crown ethers, glymes, and nitriles.
  • L group alkoxides include tert-butoxide, iso-propoxide, ethoxide, 1 -methoxy-2,2-dimethyl-2-propionate (mmp), i -dimethylamino-2,2 1 - dimethyl-propionate, amyloxide, and neo-pentoxide.
  • halides include fluoride, chloride, iodide, and bromide.
  • Examples of aryloxides include phenoxide and 2,4,6-trimethylphenoxide.
  • Examples of amides include bis(trimethylsilyl)amide di-tert-buty!amide, and 2,2,6,6-tetramethylpiperidide (TMPD).
  • Examples of cyclepentadienyis include cyciopentadienyl, 1-methylcyclopentadienyS, 1 ,2,3,4- tetramethylcyctopentadienyl, 1 -ethyjcyclopentadienyl, pentarnethyl cyciopentadienyl,
  • aikyls include bis(trimethylsilyl)methyl, tris(trimethylsilyl)methyi, and trimethylsilylmethyl.
  • An example of a silyl is trimethyisilyS.
  • amidinates include N,N'-di-tert-butylacetarnidinate, N 1 N'- di-iso-propylacetamidinate, N F N'-di-isopropyl-2-tert-butylamidinate, and N.N'-di-tert- butyl-2-tert-butylamidinate.
  • ⁇ -diketonates include 2,2,6, 6-tetramethyl-
  • ketoiminate 3,5-hepta ⁇ edionate (THD), hexafluoro-2,4-pentanedionate (hfac), and 6,6,7,7,8,8,8- heptafluoro-2,2 ⁇ dimethyl-3,5-octanedionate (FOD).
  • TFD 3,5-hepta ⁇ edionate
  • hfac hexafluoro-2,4-pentanedionate
  • FOD 6,6,7,7,8,8,8- heptafluoro-2,2 ⁇ dimethyl-3,5-octanedionate
  • 2-iso-propylimino-4-pentanonate examples include sslanoates include tri-tert- butylsiloxide and triethylsiloxide.
  • An example of a carboxylafe is 2-ethylhexanoate.
  • D ligands include tetrahydrofuran, diethylether, 1 ,2- dimethoxyethane, diglyme, triglyme, tetraglyme, 12-Crown-6, 10-Crown-4, pyridine,
  • N-methylpyrolidine triethylamine, trimethylamine, acetonitrile, and 2,2- dimethylpropto ⁇ itrile.
  • alkaline earth precursors include:
  • Be precursors Be(N(SiMe 3 )2)2, Be(TMPD) 2 , and BeEt 2 .
  • Mg precursors Mg(N(Si Me 3 ⁇ , Mg(TMPD) 2 , Mg(PrCp) 2 , Mg(EtCp) 2 , and
  • Ca precursors Ca(N(SiMe 3 ⁇ k, Ca(JPr 4 Cp) 2 , and Ca(Me 5 Cp) 2 .
  • Sr precursors Bis(tert-butyiacetamidinato)strontium (TBAASr), Sr-C, Sr-
  • Ba precursors Bis(iert-butyiaceiamidinato)barium (TBAABa), Ba-C, Ba-D, Ba(N(SiMe 3 ) 2 )2, Ba(THD) 2 , Ba(THD) 2 (tetragiyme), Ba(SPr 4 Cp) 2 , Ba(Me 5 Cp) 2 , and Ba(HPrMe 4 Cp) 2 .
  • Group IVB precursors include: Hf(O 1 Bu) 4 (hafnium tert-butoxide, HTB), Hf(NEt 2 ) 4 (tetrakis(diethylamido)hafnium, TDEAH), Hf(NEtMe) 4 (tetrakis(ethylmethylamido)hafnium I TEMAH), Hf(NMe 2 J 4 (tetrakis(dimethylamido)hafnium, TDMAH), Zr(O 1 Bu) 4 (zirconium tert-butoxide, ZTB), Zr(NEt 2 J 4 (tetrakis(diethyiamido)zirconium, TDEAZ), Zr(NMeEt) 4 (tetrakis(ethylmethylamido)zirconium, TEMAZ), Zr(NMe 2 J 4
  • TDMAT tetrakis(dimethylamido)titanium
  • Ti(THD) 3 tris(2,2,6,6-tetramethyl-3,5- heptanedionatojtitanium).
  • Embodiments of the inventions may utilize a wide variety of different rare earth precursors.
  • many rare earth precursors have the formula:
  • M is a rare earth metal element selected from the group of scandium (Sc), yttrium (Y), lutetium (LuJ, lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), and ytterbium (Yb).
  • Sc scandium
  • Y yttrium
  • LuJ lutetium
  • La lanthanum
  • Ce cerium
  • Pr praseodymium
  • Nd neodymium
  • Sm samarium
  • Eu europium
  • Gd gadolinium
  • Tb terbium
  • Dy dysprosium
  • Ho holmium
  • Er erbium
  • Tm th
  • L 1 , L 2 , L 3 are individual anionic ligands
  • D is a neutral donor ligand where x can be 0, 1 , 2, or 3.
  • Each L 1 , L 2 , L 3 ligand may be individually selected from the groups of aikoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, siiyls, amidinates, ⁇ -diketonates, ketoiminates, silanoates, and carboxylates.
  • D ligands may be selected from groups of ethers, furans, pyridines, pyroles, pyrolidines, amines, crown ethers, glymes, and nitriies.
  • Examples of L groups and D ligands are identical to those presented above for the alkaline earth precursor formula.
  • Representative examples of rare earth precursors include: [0041] Y precursors: Y(N(SiMe 3 )Z) 3 , Y(N(iPr) 2 ) 3 , Y(N(tBu)SiMe 3 ) 3 , Y(TMPD) 3 ,
  • La precursors La(N(SiMe 3 ) 2 )3, La(N(iPr) 2 ) 3 , La(N(tBu)SiMe 3 ) 3 ,
  • Ce precursors Ce(N(SiMe 3 )2)s, Ce(N(iPr) 2 )3, Ce(N(tBu)SiMe 3 ) 3 ,
  • Pr precursors Pr(N(SiMe 3 ) 2 ) 3 , ((JPr)Cp) 3 Pr, Cp 3 Pr, Pr(THD) 3 , Pr(FOD) 3 ,
  • Pr(O(iPr)) 3 Pr(acac) 3i Pr(hfac ⁇ 3 , Pr(((tBu) 2 N) 2 CMe) 3 , Pr(((iPr) 2 N) 2 CMe) 3 ,
  • Nd precursors Nd(N(SiMe 3 ) 2 ) 3 , Nd(N(iPr) 2 ) 3 , (((Pr)Cp) 3 Nd, Cp 3 Nd,
  • Nd(hfac) 3 Nd(F 3 CC(O)CHC(O)CH 3 ) 3 , and Nd(FOD) 3 .
  • Sm precursors Sm(N(Si Me 3 ) 2 ) 3 , ((JPr)Cp) 3 Sm, Cp 3 Sm, Sm(THD) 3 ,
  • Eu precursors Eu(N(SiMe 3 ) 2 ) 3 , ((JPr)Cp) 3 Eu, Cp 3 Eu, (Me 4 Cp) 3 Eu,
  • Gd precursors Gd(N(SiMe 3 ) 2 ) 3 , ((!Pr)Cp) 3 Gd, Cp 3 Gd, Gd(THD) 3 ,
  • Tb precursors Tb(N(SiMe 3 J 2 )S 1 ((JPr)Cp) 3 Tb 1 Cp 3 Tb 1 Tb(THD) 3 ,
  • Dy precursors Dy(N(SiMe 3 ) 2 ) 3 , ((i Pr)Cp) 3 Dy, Cp 3 Dy, Dy(THD) 3 ,
  • Ho precursors Ho(N(SiMeS) 2 )S, ((!Pr)Cp) 3 Ho, Cp 3 Ho 1 Ho(THD) 3 , Ho[OOCCH(C 2 H 5 )C 4 H 9 I 3 , Ho(O(iPr)) 3 , and Ho(acac) 3 .
  • Er precursors Er(N(SiMe 3 ) 2 ) 3 , ((JPr)Cp) 3 Er, ((nBu)Cp) 3 Er, Cp 3 Er, Er(THD) 3 , Er[OOCCH(C 2 H 5 )C 4 H 9 I 3 , Er(O(iPr)) 3 , and Er(acac) 3 .
  • Tm precursors Tm(N(SiMe 3 ) 2 ) 3 , ( ⁇ i Pr)Cp) 3 Tm, Cp 3 Tm, Tm(THD) 3 , Tm[OOCCH(C 2 H 5 )C 4 Hg] 3 , Tm(O(I Pr)J 3 , and Tm(acac) 3 .
  • Yb precursors Yb(N(SiMe 3 ) 2 ) 3 , Yb(N(iPr) 2 ) 3 , ((iPr)C ⁇ ) 3 Yb, Cp 3 Yb, Yb(THD) 3 , Yb[OOCCH(C 2 H 5 )C 4 Hg] 31 Yb(O(i Pr)J 3 , Yb(acac) 3 , (C 5 Me 5 J 2 Yb, Yb(hfac) 3) and Yb(FOD) 3 .
  • Lu precursors Lu(N(SiMe 3 ) 2 ) 3 , ((JPr)Cp) 3 Lu, Cp 3 Lu, Lu(THD) 3 , Lu[OOCCH(C 2 H 5 )C 4 Hg] 3 , Lu(O(JPr)J 3 , and Lu(acac) 3 .
  • Si silicon; Me: methyl; Et: ethyl; iPr: isopropyl; nPr: n-propyl; Bu: butyi; nBu: n-butyl; sBu: sec-butyl; iBu: iso-butyl; tBu: tert-butyi; Cp: cyclopentadienyl; THD: 2,2,6,6-tetramethy[-3,5-heptanedionate; TMPD: 2,2,6,6-tetramethylpiperidide; acac: acetylacetonate; hfac: hexafluoroacetyiacetonate; and FOD: 6,6,7,7,8,8, 8-heptafluoro-2,2-dimethyl-3,5- octanedionate.
  • the oxygen-containing gas supply system 46 is configured to introduce an oxygen-containing gas to the process chamber 10.
  • the oxygen-containing gas can include oxygen (O 2 ), water (H 2 O), or hydrogen peroxide (H 2 O 2 ), or a combination thereof, and optionally an inert gas such as Ar.
  • the nitrogen-containing gas supply system 48 is configured to introduce a nitrogen- containing gas to the process chamber 10.
  • the nitrogen-containing gas can include ammonia (NH 3 ), hydrazine (N 2 H 4 ), Ci - Cio alkylhydrazine compounds, or a combination thereof, and optionally an inert gas such as Ar.
  • Ci and C 2 alkylhydrazine compounds include monomethyl-hydrazine (MeNHNH 2 ), 1 ,1 -dimethyl- hydrazine (Me 2 NNH 2 ), and 1 ,2-dimethyl-hydrazine (MeNHNHMe).
  • the oxygen-containing gas or the nitrogen-containing gas can include NO, NO 2 , or N 2 O, or a combination thereof, and optionally an inert gas such as Ar.
  • Embodiments of the invention may utilize a wide variety of aluminum precursors for incorporating aluminum into the nitrided high-k films. For example, many aluminum precursors have the formula:
  • L 1 , L 2 , L 3 are individual anionic iigands
  • D is a neutral donor ligand where x can be 0, 1 , or 2.
  • Each L 1 , L 2 , L 3 ligand may be individually selected from the groups of alkoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, ⁇ -diketonates, ketoiminates, silanoates, and carboxylates.
  • D Iigands may be selected from groups of ethers, furans, pyridines, pyroles, pyroiidines, amines, crown ethers, glymes, and nitriles.
  • Aluminum precursors include: AI 2 Me6, Al 2 EJe, [Ai(O(sBu)) 3 ]4, AI(CH 3 COCHCOCH 3 ) 3 , AIBr 3 , AII 3 , Al (O(i Pr)J 3 , [AI(NMe 2 )Sb, AI(IBu) 2 Cl, AI(iBu) 3 , AI(JBu) 2 H 1 AlEt 2 Cl, Et 3 Al 2 ⁇ O(sBu)) 3l and AI(THD) 3 .
  • Embodiments of the invention may utilize a wide variety of silicon precursors for incorporating silicon into the nitrided high-k films.
  • silicon precursors include SiH 4 , Si 2 H 6 , SiCl 3 H, SiCI 2 H 2 , SiClH 3 , Si 2 CI 6 , ((CH 3 J 2 N) 3 SiH (tris ⁇ dimethylamino) silane, TDMAS), and ((CH 3 J 2 N) 2 SiH 2 (bis(dimethylamino) silane, TDMAS),
  • the purge gas supply system 44 is configured to introduce a purge gas to process chamber 10.
  • the introduction of purge gas may occur between introduction of pulses of metal-containing precursors and an oxygen-containing gas, a nitrogen-containing gas, an aluminum precursor, and a silicon precursor to the process chamber 10.
  • the purge gas can comprise an inert gas, such as a nobie gas (i.e., He, Ne, Ar, Kr, or Xe), nitrogen (N 2 ), or hydrogen (H 2 ).
  • ALD processing system 1 includes substrate temperature control system 60 coupled to the substrate holder 20 and configured to elevate and controi the temperature of substrate 25.
  • Substrate temperature control system 60 comprises temperature control elements, such as a cooling system including a re- circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • the temperature control elements can include heating/cooling elements, such as resistive heating elements, or thermoelectric heaters/coolers, which can be included in the substrate holder 20, as well as the chamber wall of the process chamber 10 and any other component within the ALD processing system 1.
  • the substrate temperature control system 60 can, for example, be configured to elevate and control the substrate temperature from room temperature to approximately 35O 0 C to 550 0 C.
  • the substrate temperature can, for exampie, range from approximately 15O 0 C to 35O 0 C. It is to be understood, however, that the temperature of the substrate is selected based on the desired temperature for causing deposition of a particular nitrided high-k film on the surface of a given substrate.
  • substrate holder 20 can include a mechanical clamping system, or an electrical damping system, such as an electrostatic damping system, to affix substrate 25 to an upper surface of substrate holder 20.
  • substrate holder 20 can further include a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20.
  • a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20.
  • the substrate backside gas system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25.
  • the process chamber 10 is further coupled to a pressure control system 32, including a vacuum pumping system 34 and a valve 36, through a duct 38, wherein the pressure control system 32 is configured to controllably evacuate the process chamber 10 to a pressure suitable for forming the nitrided high-k film on the substrate 25.
  • the vacuum pumping system 34 can include a turbo-molecular vacuum pump (TMP) or a cryogenic pump capable of a pumping speed up to about 5000 liters per second (and greater) and valve 36 can include a gate valve for throttling the chamber pressure.
  • a device for monitoring chamber pressure (not shown) can be coupled to the process chamber 10.
  • the pressure measuring device can be, for example, an absolute capacitance manometer.
  • the pressure control system 32 can, for example, be configured to control the process chamber pressure between about 0.1 Torr and about 100 Torr during deposition of the nitrided high-k film.
  • the first process materia! supply system 40, the second process material supply system 42, the purge gas supply system 44, the oxygen-containing gas supply system 46, the nitrogen-containing gas supply system 48, the aluminum- containing gas supply system 50, and the silicon-containing gas supply system 62 can include one or more pressure control devices, one or more flow control devices, one or more filters, one or more valves, and/or one or more flow sensors.
  • the flow control devices can include pneumatic driven valves, electro-mechanica! (soienoida!) valves, and/or high-rate pulsed gas injection valves.
  • gases may be sequentially and alternately pulsed into the process chamber 10, where the length of each gas pulse can, for example, be between about 0.1 sec and about 100 sec. Alternately, the length of each gas pulse can be between about 1 sec and about 10 sec.
  • Exemplary gastraine lengths for metal- containing precursors can be between 0.3 and 3 sec, for example 1 sec.
  • Exemplary gas pulse lengths for aluminum precursors and silicon-precursors can be between 0.1 and 3 sec, for example 0.3 sec.
  • Exemplary gastraine lengths for oxygen- and nitrogen-containing gases can be between 0.3 and 3 sec, for example 1 sec.
  • Exemplary purge gas pulses can be between 1 and 20 sec, for example 3 sec.
  • the controller 70 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the ALD processing system 1 as well as monitor outputs from the ALD processing system 1.
  • the controiier 70 may be coupled to and may exchange information with the process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, oxygen-containing gas supply system 46, nitrogen-containing gas supply system 48, aluminum- containing gas supply system 50, siiicon-containing gas supply system 62, substrate temperature control system 60, and pressure control system 32.
  • a program stored in the memory may be utilized to activate the inputs to the aforementioned components of the ALD processing system 1 according to a process recipe in order to perform a deposition process.
  • a process recipe in order to perform a deposition process.
  • One example of the controiier 70 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Texas.
  • the controller 70 may be implemented as a general purpose computer system that performs a portion or ali of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive.
  • processors in a multiprocessing arrangement may also be employed as the controiier microprocessor to execute the sequences of instructions contained in main memory.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • the controller 70 includes at least one computer readable medium or memory, such as the controiier memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention.
  • Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM 1 SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.
  • a software for controlling the controiier 70 for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user.
  • Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in impiementing the invention.
  • the computer code devices may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost.
  • Nonvolatile media includes, for example, optical, magnetic disks, and magneto-optical disks, such as the hard disk or the removable media drive.
  • Volatile media includes dynamic memory, such as the main memory.
  • various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to the processor of the controller 70 for execution.
  • the instructions may initially be carried on a magnetic disk of a remote computer.
  • the remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 70.
  • the controller 70 may be locally located relative to the ALD processing system 1 , or it may be remotely located relative to the ALD processing system 1. For example, the controller 70 may exchange data with the ALD processing system 1 using at least one of a direct connection, an intranet, the Internet and a wireless connection.
  • the controller 70 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer).
  • the controller 70 may be coupled to the Internet, Furthermore, another computer (i.e., controller, server, etc.) may access, for example, the controller 70 to exchange data via at least one of a direct connection, an intranet, and the Snternet. As also would be appreciated by those skilled in the art, the controller 70 may exchange data with the ALD processing system 1 via a wireless connection.
  • FlG. 1 B illustrates a PEALD processing system 100 for depositing nitrided high-k films on a substrate according to an embodiment of the invention.
  • the PEALD processing system 100 is similar to the ALD processing system 1 described in FIG.
  • a plasma generation system configured to generate a plasma during at least a portion of the gas exposures in the process chamber 10.
  • a plasma generation system configured to generate a plasma during at least a portion of the gas exposures in the process chamber 10.
  • This allows formation of ozone and plasma excited oxygen from an oxygen- containing gas containing O 2 , H 2 O, hbC ⁇ or a combination thereof, in one example, a mixture of ozone/oxygen may be formed.
  • plasma excited nitrogen may be formed from a nitrogen gas containing N 2 , NH 3 , or NaH 4 , or a combination thereof.
  • plasma excited oxygen and nitrogen may be formed from a process gas containing NO, NO 2 , and N 2 O, or a combination thereof.
  • the plasma generation system includes a first power source 52 coupled to the process chamber 10, and configured to couple power to gases introduced into the process chamber 10 through the assembly 31.
  • the first power source 52 may be a variable power source and may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to the plasma in process chamber 10.
  • the electrode can be formed in the assembly 31 , and it can be configured to oppose the substrate holder 20.
  • the impedance match network can be configured to optimize the transfer of RF power from the RF generator to the plasma by matching the output impedance of the match network with the input impedance of the process chamber, including the electrode, and plasma.
  • the impedance match network serves to improve the transfer of RF power to plasma in process chamber 10 by reducing the reflected power
  • Match network topologies e.g. L-type, ⁇ -type, T-type, etc.
  • automatic control methods are well known to those skilled in the art.
  • the first power source 52 may further include an antenna, such as an inductive coil, through which RF power is coupled to plasma in process chamber 10.
  • the antenna can, for example, include a helical or solenoidal coil, such as in an inductively coupled plasma source or helicon source, or it can, for example, include a flat coil as in a transformer coupled plasma source.
  • the first power source 52 may include a microwave frequency generator, and may further include a microwave antenna and microwave window through which microwave power is coupled to plasma in process chamber 10.
  • the coupling of microwave power can be accomplished using electron cyclotron resonance (ECR) technology, or it may be employed using surface wave plasma technology, such as a slotted plane antenna (SPA), as described in U.S. Patent No. 5,024,716.
  • ECR electron cyclotron resonance
  • SPA slotted plane antenna
  • the PEALD processing system 100 includes a substrate bias generation system configured to generate or assist in generating a plasma (through substrate holder biasing) during at least a portion of the alternating introduction of the gases to the process chamber 10.
  • the substrate bias system can include a substrate power source 54 coupled to the process substrate holder 20, and configured to couple power to the substrate 25.
  • the substrate power source 54 may include a RF generator and an impedance match network, and may further include an electrode through which RF power is coupled to substrate 25.
  • the eiectrode can be formed in substrate holder 20.
  • a typical frequency for the RF bias can range from about 0,1 MHz to about 100 MHz, and can be 13.56 MHz.
  • the PEALD processing system 100 includes a remote plasma system 56 for providing and remotely plasma exciting an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof, prior to flowing the plasma excited gas into the process chamber 10 where it is exposed to the substrate 25.
  • the remote plasma system 56 can, for example, contain a microwave frequency generator.
  • the process chamber pressure can be between about 0.1 Torr and about 10 Torr, or between about 0.2 Torr and about 3 Torr.
  • the remote plasma system can provide a mixture of ozone and O 2 to the substrate 25.
  • FIGS. 2A - 2E schematically illustrate pulse sequences for forming nitrided high-k films according to embodiments of the invention. Sequential and alternating pulse sequences are used to deposit the different components (i.e., metal elements, aluminum, oxygen, nitrogen, and silicon) of the nitrided high-k films. Since ALD and PEALD processes typically deposit less than a monolayer of material per gas pulse, it is possible to form a homogenous material using separate deposition sequences of the different components of the film.
  • ⁇ itrided high-k materials may be formed that contain one or more metal elements from alkaline earth elements, rare earth elements, and Group IVB elements.
  • the nitrided high-k film can contain a wide variety of nitrogen-containing films and oxygen-containing films.
  • Nitrogen- containing films may be selected from metal nitride films, metal aluminum nitride films, metal silicon nitride films, and metal silicon aluminum nitride films.
  • Oxygen- containing films may be selected from metal oxide films, metal aluminate films, metal silicate films, and metal silicon aluminate films.
  • FIG. 2A depicts a pulse sequence 200 for depositing a metal element from a metal-containing precursor in step 202.
  • FiG. 2B depicts a pulse sequence 210 for depositing silicon from a silicon precursor in step 212.
  • FIG. 2C depicts a pulse sequence 220 for incorporating oxygen into a high-k film from exposure to an oxygen-containing gas in step 222.
  • FIG. 2D depicts a pulse sequence 230 for incorporating nitrogen into a high-k film from exposure to a nitrogen-containing gas in step 232.
  • FIG. 2E depicts a pulse sequence 240 for depositing aluminum from an aluminum precursor in step 252.
  • each of the pulse sequences 200, 210, 220, 230, and 240 may include a respective purge or evacuation step 204, 214, 224, 234, and 244 to remove unreacted gas or byproducts from the process chamber.
  • purging steps may further include evacuating the process chamber during the purging.
  • one or more of the purge or evacuation steps 204, 214, 224, 234, and 244 may be omitted.
  • FlG. 3 is a process flow diagram for forming nitrided high-k films according to embodiments of the invention.
  • the process flows of FIG. 3 may be performed by the ALD/PEALD processing systems 1/101 of FIGS. 1 , 2, or any other suitable ALD/PEALD processing systems configured to perform an ALD/PEALD process.
  • the process 300 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD processing system in step 302.
  • the substrate is exposed to a gas pulse containing a metal- containing precursor, and in step 306, the process chamber is purged or evacuated to remove unreacted metal-containing precursor and any byproducts from the process chamber,
  • the metal-containing precursor reacts with the surface of the heated substrate to form a chemisorbed layer less than a monolayer thick containing the metal element.
  • the chemisorbed layer is less than a monolayer thick due to the large size of the metal -containing precursor compared to the size of the metal element contained in the metai-containing precursor.
  • the substrate is sequentially exposed to a gas pulse of a nitrogen-containing gas, and in step 310, the process chamber is purged or evacuated to remove unreacted nitrogen-containing gas and any byproducts from the process chamber.
  • the nitrogen-containing gas can contain NH 3 , N 2 H 4 , Ci - C 10 a iky! hydrazine compounds, plasma excited nitrogen, NO, NO 2 , or N 2 O, or a combination thereof, and optionally an inert gas such as Ar.
  • a nitrogen-containing f ⁇ m with a desired thickness on the substrate By repeating the exposure steps 304 - 310 a predetermined number of times, as shown by the process flow arrow 320, it is possible to deposit a nitrogen-containing f ⁇ m with a desired thickness on the substrate while achieving layer by layer growth of about 1 angstrom (10 "10 m) per cycle.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed.
  • a thickness of the nitrogen-containing film can be between about 5 angstrom and about 200 angstrom, or between about 5 angstrom and about 40 angstrom.
  • step 312 the substrate is exposed to a gas pulse containing a metal- containing precursor, and in step 314, the process chamber is purged or evacuated to remove unreacted metal-containing precursor and any byproducts from the process chamber.
  • step 316 the substrate is sequentially exposed to a gas pulse of oxygen-containing gas, and in step 318, the process chamber is purged or evacuated to remove unreacted oxygen-containing gas and any byproducts from the process chamber.
  • the oxygen-containing gas can include O 2 , H 2 O, H 2 O 2 , ozone, plasma excited oxygen, NO, NO 2 , or N 2 O, or a combination thereof, and optionally an inert gas such as Ar.
  • the exposure steps 312 - 318 may be repeated a predetermined number of times, as shown by the process flow arrow 322, to deposit an oxygen-containing film with a desired thickness on the substrate.
  • a thickness of the oxygen-containing film can be between about 5 angstrom and about 200 angstrom, or between about 5 angstrom and about 40 angstrom
  • the metal-containing precursor can be the same in steps 304 and 312.
  • the metal-containing precursors in steps 304 and 312 can have different chemical formulas but contain the same metal element.
  • the metal-containing precursors in steps 304 and 312 can contain different metal elements.
  • the process flows 320 and 322 may be repeated a predetermined number of times, as shown by the process flow arrow 324, to form a plurality of alternating nitrogen-containing films and oxygen-containing films until the desired number of alternating films has been formed.
  • the exposure steps 304 and 312 may contain a plurality (i.e., at least two) of metal-containing precursors each having a different metal element.
  • the gas pulses in steps 304 and 312 may contain a plurality of different metal elements to be deposited on the substrate.
  • the relative concentration of each metal-containing precursor in each gas pulse may be independently controlled to tailor the composition of the resulting nitrided high-k film.
  • a nitrogen-containing film is deposited onto a substrate as shown by process flow 320 and, subsequently, an oxygen-containing film is deposited onto the nitrogen-containing film as shown by process flow 322.
  • the order of the film depositions may be reversed, i.e., an oxygen-containing film deposited onto a substrate and, subsequently, a nitrogen-containing film deposited onto the oxygen- containing film.
  • pulse sequences 210 and 240 depicted in FIG. 2 may be added to the process 300 for incorporating silicon, aluminum, or both siiicon and aluminum, into the nitrided high-k film.
  • pulse sequence 210 may be performed after exposure steps 310 and 318 for incorporating silicon into the nitrided high-k film.
  • the method includes disposing a substrate in a process chamber, and forming a nitrided high-k film on the substrate by a) depositing a nitrogen-containing film, and b) depositing an oxygen- containing film, where steps a) and b) are alternatingly performed, in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen- containing film, and where the nitrogen-containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
  • the method includes disposing a substrate in a process chamber, and forming a nitrided hafnium based high-k film on the substrate by a) depositing a nitrogen-containing film, and b) depositing an oxygen-containing film, where steps a) and b) are alternatingly performed, in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen-containing film, and the nitrogen-containing film and the oxygen-containing film each contain hafnium, optionally one or more additional metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
  • top and bottom regions different regions across a thickness of a nitrided high-k film containing a nitrogen-containing film and an oxygen-containing film may be referred to as top and bottom regions.
  • a nitrided high-k film containing an oxygen-containing film deposited onto a substrate and a nitrogen-containing film deposited onto the oxygen-containing film may be referred to as a top nitrided high-k film since the highest nitrogen content is in the top region of the nitrided high-k film.
  • a nitrided high-k film containing a nitrogen-containing film deposited onto a substrate and an oxygen- containing film deposited onto the nitrogen-containing film may be referred to as a bottom nitrided high-k film since the highest nitrogen content is in the bottom region of the nitrided high-k film.
  • top, middle, and bottom regions different regions across a thickness of a nitrided high-k film containing a total of three nitrogen- or oxygen-containing films.
  • a top region can include approximately the top one third of a thickness of the nitrided high-k film
  • a bottom region can include approximately the bottom one third of a thickness of the nit ⁇ ded high-k film nearest to the underlying substrate
  • a middle region can include approximately a third of a thickness of the nit ⁇ ded high-k film between the top and bottom regions
  • a top nit ⁇ ded high-k film has the highest nitrogen content in the top region of the nit ⁇ ded high-k film.
  • bottom and middle nit ⁇ ded high-k films have the highest nitrogen content in the bottom and middle regions of the nit ⁇ ded high-k films, respectively
  • a nit ⁇ ded high-k film may be described as being bottom and middle nit ⁇ ded if the nitrogen content is higher in the bottom and middle regions than in the top region
  • a nit ⁇ ded high-k film may be described as being top and bottom nit ⁇ ded if the nitrogen content is higher in the top and bottom regions than in the middle region
  • each of the top, middle, and bottom regions need not be construed as being limited to approximately one thirds of a thickness of the nit ⁇ ded high-k film but rather may describe regions at or near the top interface, middle (bulk), and bottom interface of the nit ⁇ ded high-k film, respectively
  • a nitrogen-containing film is deposited in a desired region of the nit ⁇ ded high-k film using alternating pulses of a metai-containing precursor and a nitrogen-containing gas.
  • the as-deposited nitrogen-containing film may be a metal nitride film containing little or no oxygen or, alternately, the as-deposited nitrogen-containing film may contain substantial amounts of oxygen In one example, a substantial amount of oxygen may be incorporated into a nitrogen-containing film during deposition utilizing a metal- containing precursor containing oxygen.
  • oxygen may be incorporated into the nitrogen-containing fiim by exposing the substrate to an oxygen-containing gas prior to, during, or after deposition of the nitrogen-containing film
  • oxygen may be incorporated onto the nitrogen-containing film by post-deposition processing such as exposure to an oxygen-containing gas with or without a plasma, or during formation of a gate electrode or a capping layer onto the nit ⁇ ded high-k film.
  • oxygen may be incorporated into a nitrogen-containing fiim from an adjacent oxygen-containing film during deposition of the nitrogen-contammg film onto the oxygen-containing fiim or during deposition of the oxygen-containing fiim onto the nitrogen-containing film. Due to the oxygen incorporation into the nitrogen-containing film, the finai nitrogen content of the nitrogen-containing film is lower than would be obtained without an oxygen-containing film adjacent to (below, above, or both below and above) the nitrogen-containing film or without post- deposition processing.
  • oxygen incorporation into the nitrogen-containing film oxidizes at least a portion of the thickness of the nitrogen- containing film.
  • the oxidized portion contains a variable nitrogen :oxygen ratio, in one example, a nitrogen-containing film is deposited onto a substrate, and an oxygen-containing film is deposited onto the nitrogen-containing film so as to oxidize at least a portion of the thickness of the nitrogen-containing film during the deposition of the oxygen-containing fiim.
  • the nitrogen :oxygen ratio in the oxidized portion of the thickness of the nitrogen-containing film may increase in the direction towards the substrate.
  • the nitrogen :oxygen ratio in the nitrided high-k film may monotonically change through the thickness thereof.
  • the nitrogen:oxygen ratio in the nitrided high-k film may monotonically increase through the thickness thereof.
  • a hafnium based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 150 0 C and 35O 0 C using alternating pulses of TEMAH and ammonia.
  • a hafnium based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the nitrogen- containing film at a substrate temperature between 15O 0 C and 35O 0 C using alternating pulses of TEMAH and mixture of ozone/oxygen.
  • the ozone concentration in the mixture may be between 50 and 250 g/m 3 .
  • Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen- containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film.
  • oxygen incorporation may occur from the oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the oxygen-containing film.
  • a first (bottom) hafnium based oxygen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto the substrate using alternating pulses of TEMAH and mixture of ozone/oxygen.
  • a (middle) hafnium based nitrogen-containing film having a thickness between about 10 angstrom and about 20 angstrom may be deposited onto the first hafnium based oxygen-containing film using alternating pulses of TEMAH and ammonia.
  • a second (top) hafnium based oxygen-containing film is deposited onto the nitrogen- containing film.
  • the second hafnium based oxygen-containing film may have the same thickness as the first hafnium based oxygen-containing film.
  • Oxygen incorporation into the nitrogen-containing film during deposition of the second oxygen-containing film oxidizes at least a portion of the thickness of the nitrogen- containing film.
  • oxygen incorporation may occur from the first and second oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen- containing film or after deposition of the second oxygen-containing film.
  • a hafnium based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the substrate using alternating pulses of TEMAH and mixture of ozone/oxygen.
  • a hafnium based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto the hafnium based oxygen-containing film using alternating pulses of TEMAH and ammonia.
  • Oxygen incorporation into the nitrogen- containing fiim may occur from the oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film.
  • a first (bottom) hafnium based nitrogen-containing fiim having a thickness between about 5 Angstrom and about 10 Angstrom is deposited onto the substrate using alternating pulses of TEMAH and ammonia.
  • a hafnium based oxygen- containing film having a thickness between about 10 Angstrom and about 20 Angstrom is deposited onto the nitrogen-containing film using alternating pulses of TEMAH and mixture of ozone/oxygen.
  • a second (top) hafnium based nitrogen- containing film is deposited onto the oxygen-containing film.
  • the second nitrogen- containing film may have the same thickness as the first nitrogen-containing film.
  • Oxygen incorporation into the first nitrogen-containing film during deposition of the oxygen-containing film oxidizes at a least a portion of the thickness of the first nitrogen-containing film.
  • oxygen incorporation into the second nitrogen- containing film may occur from the oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the first or second nitrogen-containing films.
  • a hafnium and silicon based oxygen-containing film having a thickness between about 5 angstrom and about 30 angstrom is deposited onto the substrate at a substrate temperature between 150°C and 350 0 C using alternating pulses of TEMAH and mixture of ozone/oxygen, and alternating pulses of TDMAS and mixture of ozone/oxygen.
  • a hafnium silicon based nitrogen-containing film having a thickness between about 5 angstrom and about 30 angstrom is deposited onto the hafnium silicon based oxygen-containing film using alternating pulses of TEMAH and ammonia, and alternating pulses of TDMAS and ammonia.
  • Oxygen incorporation into the nitrogen-containing film may occur from the oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film.
  • a hafnium and silicon based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 150 0 C and 350 0 C using alternating pulses of TEMAH and ammonia, and alternating pulses of TDMAS and ammonia.
  • a hafnium silicon based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the hafnium silicon based nitrogen- containing film at a substrate temperature between 150 0 C and 35O 0 C using alternating pulses of TEMAH and mixture of ozone/oxygen, and alternating pulses of TDMAS and ozone/oxygen.
  • Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen-containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film.
  • oxygen incorporation may occur from the oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the oxygen-containing film.
  • a hafnium and strontium based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 100 0 C and 400 0 C using alternating pulses of TBAASr and ammonia, and alternating pulses of TEMAH and ammonia.
  • a hafnium and strontium based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the hafnium and strontium based nitrogen-containing fiim at a substrate temperature between 100 0 C and 400 0 C using alternating pulses of TBAASr and mixture of ozone/oxygen, and alternating pulses of TEMAH and mixture of ozone/oxygen.
  • Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen-containing film oxidizes at least a portion of the thickness of the nitrogen-containing film.
  • oxygen incorporation may occur from the oxygen-containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing fiim or after deposition of the oxygen-containing film.
  • a lanthanum and aluminum based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 100 0 C and 400 0 C using alternatingmodules of La( ⁇ (iPr) 2 N) 2 CMe)3 and ammonia, and alternating pulses of trimethylaluminum (TMA) and ammonia.
  • a lanthanum and aluminum based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the lanthanum and aluminum based nitrogen-containing film at a substrate temperature between 100°C and 400 0 C using alternating pulses of La ⁇ ( ⁇ iPr) 2 N) 2 CiVle) 3 and mixture of ozone/oxygen, and alternating pulses of trimethyialuminum (TMA) and mixture of ozone/oxygen.
  • TMA trimethyialuminum
  • Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen-containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film.
  • oxygen incorporation may occur from the oxygen -containing film.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the oxygen-containing film.
  • a first (bottom) lanthanum and aluminum based oxygen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto the substrate using alternating pulses of La (((JPr) 2 N) 2 CMe)S and mixture of ozone/oxygen and alternating pulses of trimethySaluminum (TMA) and ozone/oxygen.
  • a (middle) lanthanum and aluminum based nitrogen-containing film having a thickness between about 10 angstrom and about 30 angstrom may be deposited onto the first lanthanum and aluminum based oxygen-containing film using alternating pulses of La(((iPr) 2 N) 2 CMe)3 and ammonia, and alternating pulses of trimethyialuminum (TMA) and ammonia.
  • a second (top) lanthanum and aluminum oxygen-containing film is deposited onto the nitrogen-containing film.
  • the second lanthanum and aluminum based oxygen-containing film may have the same thickness as the first oxygen-containing film.
  • Oxygen incorporation into the nitrogen- containing film during deposition of the second oxygen-containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film.
  • oxygen incorporation may occur from the first or second oxygen-containing films.
  • further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the first or second oxygen-containing films.
  • nitrogen :oxygen ratios and nitrogen profiles across a thickness of the high-k films may be controlled to form a wide variety of different nitrided high-k films.
  • FIGS. 4A and 4B schematically show cross-sectional views of semiconductor devices containing nitrided high-k materials according to embodiments of the invention, in the schematic cross-sectional views, source and drain regions of the field emission transistors (FET) 90 and 91 are not shown.
  • the FET 90 in FIG. 4A contains a semiconductor substrate 92, a nitrided high-k film 96 that serves as a gate dielectric, and a conductive gate electrode film 98 over the film 96.
  • the nitrided high-k film 96 can contain any combination of nitrogen-containing films and oxygen-containing films.
  • Nitrogen-containing films may be selected from metaS nitride films, metal aluminum nitride films, metal silicon nitride films, and metal silicon aluminum nitride films.
  • Oxygen-containing films may be selected from metal oxide films, metal aluminate films, metal silicate films, and metal silicon aluminate films.
  • a thickness of the nitrided high-k film 96 can be between about 5 and about 200 angstrom, or between about 5 and about 40 angstrom.
  • the FET 90 further contains a gate electrode film 98 that can, for example, be between about 5nm and about 10nm thick and can contain poly-Si, a metal, or a metal-containing material, including W, WN, WSi x , Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, Pt, or Ru.
  • the FET 91 in FIG. 4B is similar to the FET 90 in FlG. 4A but further contains an interface layer 94 between the nitrided high-k film 96 and the substrate 92.
  • the interface layer 94 can, for example, be an oxide layer, a nitride layer, or an oxynitride layer.
  • the nitrided high-k films can be used in capacitors of dynamic random access memory (DRAM) devices, for example deep trench DRASvI structures or stacked DRAM structures.
  • DRAM dynamic random access memory
  • a capacitor may be built into a high aspect ratio (depth/width) trench etched into a semiconductor substrate.
  • the aspect ratio of the deep trench can, for example be between about 25 and about 60, which can benefit from highly conformal deposition methods such as ALD and PEALD.

Abstract

A semiconductor device containing a substrate (25, 92) and a nitrided high-k film (96) on the substrate (25, 92), and method of forming a nitrided high-k film (96). The nitrided high-k film (96) contains an oxygen-containing film and a nitrogen- containing film that is oxidized through at least a portion of the thickness thereof. The nitrogen-containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table. The nitrided high-k film (96) can optionally further contain aluminum, siiicon, or aluminum and silicon. The nitrided high-k film (96) is formed on the substrate (25, 92) by a) depositing a nitrogen-containing film, and b) depositing an oxygen-containing film, wherein steps a) and b) are performed in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen-containing film. According to one embodiment, the method includes forming a nitrided hafnium based high-k film (96).

Description

SEMICONDUCTOR DEVICES CONTAINING NITRiDED HIGH DIELECTRIC CONSTANT FILMS AND METHOD OF FORMING
CROSS REFERENCE TO RELATED APPLICATION
[0001] This application is related to co-pending U.S. Patent Application No. 11/537,245, filed on even date herewith and entitled "NITROGEN PROFILE ENGINEERING IN NITRIDED HIGH DIELECTRIC CONSTANT FILMS," and co- pending U.S. Patent Application No. 11/278,396 and entitled "METHOD OF FORMING MIXED RARE EARTH OXYNITRiDE AND ALUMINUM OXYNITRiDE FILMS BY ATOMIC LAYER DEPOSITION." The entire contents of these applications are herein incorporated by reference in their entirety.
FIELD OF INVENTION
[0002] The present invention relates to nitrided high dielectric constant materials for semiconductor devices, and to a method of forming nitrided high dielectric constant films having a nitrogen gradient across a thickness of the films.
BACKGROUND OF THE INVENTION
[0003] Traditionally, thermal silicon oxide (SiOz) films, grown thermally from Si substrates, have been used as gate dielectric films in integrated circuits. More recently, silicon oxynitride (SiON) films have been introduced as the gate dielectric films have become ultra-thin, often only a few atomic layers thick. Incorporation of nitrogen into Siθ2 films to form the SiON films has been shown to provide several advantages, including an increase in the dielectric constant (k) of the films and reduced boron penetration through the films. However, as the thickness of the ultra- thin SiON films is further reduced, acceptable leakage currents cannot be maintained.
[0004] In order to enable manufacturing of advanced integrated devices, high- dielectric constant (high-k) materials are being implemented as gate dielectric films to replace or supplement Siθ2 and SiON films. However, many high-k dielectric materials under evaluation suffer from various problems, such as film crystallization during anneals, growth of interfacial layers during film deposition and further processing, high density of interface traps, reduced channel mobiiity, reaction with poly-silicon gates, and Fermi levei pinning with metal gates. Furthermore, many high-k dielectric materials have dielectric constants that are lower than is desired for many advanced semiconductor devices. Additionally, the dielectric constant of the high-k dielectric materials is lowered by the presence of an interracial layer formed between the high-k dielectric material and the underlying substrate. [0005] Nitrogen-incorporation into high-k dielectric materials may reduce formation of the interfacial layer between the high-k dielectric material and the underlying substrate and may further reduce dopant penetration into the high-k dielectric material. Nitrogen-incorporation into high-k dielectric materials is commonly performed by post-deposition plasma processing but this can be more difficult than for conventional silicon-based dielectric materials and may cause plasma damage of the high-k dielectric material.
[0006] Accordingly, there is a need for further developments for forming high-k dielectric materials to be used in semiconductor devices, such as capacitors and transistors.
SUMMARY OF THE INVENTION
[0007] Embodiments of the invention provide a device having a nitrided high-k film with a nitrogen gradient across a thickness of the film, and a method of forming such nitrided high-k film. The nitrided high-k films may be deposited by atomic layer deposition (ALD) or plasma-enhanced ALD (PEALD). For example, the nitrided high-k films may be used in advanced semiconductor devices that include capacitors and transistors.
[0008] According to one embodiment of the invention, the semiconductor device contains a substrate, and a nitrided high-k film on the substrate. The nitrided high-k film contains an oxygen-containing film and a nitrogen-containing film that is oxidized through at least a portion of the thickness thereof. The nitrogen-containing film and the oxygen-containing film contain the same one or more meta! elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table. According to another embodiment, the high-k film can optionally further contain aluminum, silicon, or aluminum and silicon. [0009] According to another embodiment of the invention, the method includes disposing a substrate in a process chamber, and forming a nitrided high-k film on the substrate by a) depositing a nitrogen-containing film, and b) depositing an oxygen- containing film, where steps a) and b) are performed, in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen-containing film. The nitrogen-containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
[0010] According to other embodiments of the invention, the nitrogen-containing film and the oxygen-containing film each contain hafnium, optionally one or more additional metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
BRIEF DESCRIPTION OF THE DRAWINGS [0011] In the accompanying drawings:
[0012] FIG. 1 A depicts a schematic view of an ALD processing system in accordance with an embodiment of the invention;
[0013] FiG. 1 B depicts a schematic view of a PEALD processing system in accordance with an embodiment of the invention;
[0014] FIGS. 2A - 2E schematically illustrate pulse sequences for forming nitrided high-k films according to embodiments of the invention; [0015] FIG. 3 is a process flow diagram for forming nitrided high-k films according to embodiments of the invention; and
[0016] FIGS. 4A and 4B schematically show cross-sectiona! views of semiconductor devices containing nitrided high-k gate dielectric films according to embodiments of the invention.
DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS OF THE INVENTION [0017] Nitrided dielectric materials such as hafnium based dielectric materials are likely to provide beneficial thermal and electrical characteristics for future high-k applications in semiconductor devices. Expected benefits of these dielectric materials include increased thermal stability in contact with silicon or metal gate electrode material, decreased dopant diffusion, increased crystallization temperature, increased dielectric constant compared to non-nitrided materials, decreased density of interface traps, decreased threshold voltage shifts and Fermi level pinning, and improved processing characteristics. For example, these dielectric material films can be used in applications that include future generations of high-k dielectric materials for use as both capacitor and transistor gate dielectrics. [0018] Embodiments of the invention provide a method for nitrogen profile engineering in nitrided high-k films, in particular to forming nitrided high-k films having a nitrogen gradient across a thickness of the film. The method can provide different nitrogen -profiles in the nitrided high-k films that are expected to be beneficial for device characteristics. The nitrided high-k films contain an oxygen- containing film, and a nitrogen-containing film that is at least partially oxidized during the deposition of the oxygen-containing film onto the nitrogen-containing film, or oxidized during, or after deposition of the nitrogen-containing film by additional processing within the process chamber. The additional processing can include exposing the substrate to an oxygen-containing gas. In one example, a nitrided high-k film can contain an oxygen-containing film deposited onto a substrate and a nitrogen-containing film deposited onto the oxygen-containing film. In another example, a nitrided high-k film can contain nitrogen-containing film deposited onto a substrate and an oxygen-containing film deposited onto the nitrogen-containing film. According to other embodiments of the invention, the nitrided high-k film can contain a plurality of alternating oxygen-containing films and nitrogen-containing films. [0019] Embodiments of the invention can utilize ALD or PEALD processing to deposit nitrided high-k films with high film uniformity and with exceileπt thickness contro! over high aspect ratio features. The nitrided high-k films can contain one or more metal elements selected from alkaline earth elements (Be, Mg, Ca, Sr, Ba, and Ra), rare earth elements (scandium, yttrium, lanthanum of Group HB, and the 14 lanthanides that fill the 4f electron shell), and Group iVB elements (Ti, Zr, and Hf) of the Periodic Table. In addition, the nitrided high-k films may further contain aluminum, silicon, or both aluminum and silicon. [0020] In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not iimitation, specific details are set forth, such as a particular geometry of ALD or PEALD processing systems and descriptions of various components of the processing systems. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
[0021] Referring now to the drawings, FIG. 1 A illustrates an ALD processing system 1 for depositing nitrided high-k films on a substrate according to one embodiment of the invention. The ALD processing system 1 includes a process chamber 10 having a substrate holder 20 configured to support a substrate 25, upon which the nitrided high-k film is formed. The process chamber 10 further contains an assembly 30 {e.g., a showerhead) coupled to a first process material supply system 40, a second process material supply system 42, a purge gas supply system 44, an oxygen-containing gas supply system 46, a nitrogen-containing gas supply system 48, an aluminum-containing gas supply system 50, and a silicon-containing gas supply system 62. Additionally, the ALD processing system 1 includes a substrate temperature control system 60 coupled to substrate holder 20 and configured to elevate and control the temperature of substrate 25. Furthermore, the ALD processing system 1 includes a controller 70 that can be coupled to the process chamber 10, substrate holder 20, assembly 30 configured for introducing process gases into the process chamber 10, first process material supply system 40, second process material supply system 42, purge gas supply system 44, oxygen-containing gas supply system 46, nitrogen-containing gas supply system 48, aluminum- containing gas supply system 50, silicon-containing gas supply system 62, and substrate temperature control system 60.
[0022] Alternatively, or in addition, controller 70 can be coupled to one or more additional controllers/computers (not shown), and controller 70 can obtain setup and/or configuration information from an additional controller/computer. [0023] In FIG. 1 A, singular processing elements (10, 20, 30, 40, 42, 44, 46, 48, 50, 60, and 62) are shown, but this is not required for the invention. The ALD processing system 1 can include any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
[0024] The controller 70 can be used to configure any number of processing elements (10, 20, 30, 40, 42, 44, 46, 48, 50, 60, and 62), and the controller 70 can collect, provide, process, store, and display data from processing elements. The controller 70 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 70 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements. [0025] Still referring to FIG. 1A, the ALD processing system 1 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates. In fact, it is contemplated that the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Therefore, while aspects of the invention will be described in connection with the processing of a semiconductor substrate, the invention is not limited solely thereto. Alternately, a batch ALD processing system capable of processing multiple substrates simultaneously may be utilized for depositing the nitrided high-k films described in the embodiments of the invention. [0026] The first process materia! supply system 40 and the second process material supply system 42 are configured to alternately or simultaneously introduce metai-containing precursors containing one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table. The alternation of the introduction of the metal-containing precursors can be cyclical, or it may be acyclical with variable time periods between introduction of the one or more metal-containing precursors. Furthermore, each of the first process material supply system 40 and the second process material supply system 42 may each be configured to alternately or simultaneously introduce a plurality of metai-containing precursors to the process chamber 10, where the plurality of metal- containing precursors contain different metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements. [0027] According to embodiments of the invention, several methods may be utilized for introducing the metal-containing precursors to the process chamber 10. One method includes vaporizing precursors through the use of separate bubblers or direct liquid injection systems, or a combination thereof, and then mixing in the gas phase within or prior to introduction into the process chamber 10. By controlling the vaporization rate of each metal-containing precursor separately, a desired meta! element stoichiometry can be attained within the deposited nitrided high-k film. Another method of delivering each metal-containing precursor includes separately controlling two or more different liquid sources, which are then mixed prior to entering a common vaporizer. This method may be utilized when the metal- containing precursors are compatible in solution or in liquid form and they have similar vaporization characteristics. Other methods include the use of compatible mixed solid or liquid precursors within a bubbler. Liquid source precursors may include neat liquid metal-containing precursors, or solid or liquid metal-containing precursors that are dissolved in a compatible solvent. Possible compatible solvents include, but are not limited to, ionic liquids, hydrocarbons (aliphatic, olefins, and aromatic), amines, esters, glymes, crown ethers, ethers and polyethers. In some cases it may be possible to dissolve one or more compatible solid precursors in one or more compatible liquid precursors. It will be apparent to one skilled in the art that by controiling the relative concentration levels of the various precursors within a gas pulse, it is possible to deposit mixed metai-containing films with desired stoichiometries.
[0028] Embodiments of the inventions may utilize a wide variety of different alkaline earth precursors. For example, many alkaline earth precursors have the formula:
ML1L2Dx where M is an alkaline earth metal element selected from the group of beryllium (Be), magnesium (Mg), calcium (Ca), strontium (Sr), and barium (Ba). L1 and L2 are individual anionic ligands, and D is a neutral donor Sigand where x can be 0, 1 , 2, or 3. Each L1, L2 ligand may be individually selected from the groups of alkoxides, halides, aryioxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, β- diketonates, ketoiminates, silanoates, and carboxySates. D ligands may be selected from groups of ethers, furans, pyridines, pyroles, pyrolidines, amines, crown ethers, glymes, and nitriles. [0029] Examples of L group alkoxides include tert-butoxide, iso-propoxide, ethoxide, 1 -methoxy-2,2-dimethyl-2-propionate (mmp), i -dimethylamino-2,21- dimethyl-propionate, amyloxide, and neo-pentoxide. Examples of halides include fluoride, chloride, iodide, and bromide. Examples of aryloxides include phenoxide and 2,4,6-trimethylphenoxide. Examples of amides include bis(trimethylsilyl)amide di-tert-buty!amide, and 2,2,6,6-tetramethylpiperidide (TMPD). Examples of cyclepentadienyis include cyciopentadienyl, 1-methylcyclopentadienyS, 1 ,2,3,4- tetramethylcyctopentadienyl, 1 -ethyjcyclopentadienyl, pentarnethyl cyciopentadienyl,
1-iso-propyl cyciopentadienyl, 1-n-propylcyclopentadienyl, and 1-n- butylcyclopentadienyl. Examples of aikyls include bis(trimethylsilyl)methyl, tris(trimethylsilyl)methyi, and trimethylsilylmethyl. An example of a silyl is trimethyisilyS. Examples of amidinates include N,N'-di-tert-butylacetarnidinate, N1N'- di-iso-propylacetamidinate, NFN'-di-isopropyl-2-tert-butylamidinate, and N.N'-di-tert- butyl-2-tert-butylamidinate. Examples of β-diketonates include 2,2,6, 6-tetramethyl-
3,5-heptaπedionate (THD), hexafluoro-2,4-pentanedionate (hfac), and 6,6,7,7,8,8,8- heptafluoro-2,2~dimethyl-3,5-octanedionate (FOD). An example of a ketoiminate is
2-iso-propylimino-4-pentanonate. Examples of sslanoates include tri-tert- butylsiloxide and triethylsiloxide. An example of a carboxylafe is 2-ethylhexanoate.
[0030] Examples of D ligands include tetrahydrofuran, diethylether, 1 ,2- dimethoxyethane, diglyme, triglyme, tetraglyme, 12-Crown-6, 10-Crown-4, pyridine,
N-methylpyrolidine, triethylamine, trimethylamine, acetonitrile, and 2,2- dimethylproptoπitrile.
[0031] Representative examples of alkaline earth precursors include:
[0032] Be precursors: Be(N(SiMe3)2)2, Be(TMPD)2, and BeEt2.
[0033] Mg precursors: Mg(N(Si Me3^, Mg(TMPD)2, Mg(PrCp)2, Mg(EtCp)2, and
MgCp2.
[0034] Ca precursors: Ca(N(SiMe3^k, Ca(JPr4Cp)2, and Ca(Me5Cp)2.
[0035] Sr precursors: Bis(tert-butyiacetamidinato)strontium (TBAASr), Sr-C, Sr-
D, Sr(N(SiMe3)2)2, Sr(THD)2, Sr(THD)2(tetraglyme), Sr(IPr4Cp)2, Sr(iPr3Cp)2t and
Sr(Me5Cp)2. [0036] Ba precursors: Bis(iert-butyiaceiamidinato)barium (TBAABa), Ba-C, Ba-D, Ba(N(SiMe3)2)2, Ba(THD)2, Ba(THD)2(tetragiyme), Ba(SPr4Cp)2, Ba(Me5Cp)2, and Ba(HPrMe4Cp)2.
[0037] Representative examples of Group IVB precursors include: Hf(O1Bu)4 (hafnium tert-butoxide, HTB), Hf(NEt2)4 (tetrakis(diethylamido)hafnium, TDEAH), Hf(NEtMe)4 (tetrakis(ethylmethylamido)hafniumI TEMAH), Hf(NMe2J4 (tetrakis(dimethylamido)hafnium, TDMAH), Zr(O1Bu)4 (zirconium tert-butoxide, ZTB), Zr(NEt2J4 (tetrakis(diethyiamido)zirconium, TDEAZ), Zr(NMeEt)4 (tetrakis(ethylmethylamido)zirconium, TEMAZ), Zr(NMe2J4
(tetrakis(dimethylamido)zirconium, TDMAZ), Hf(mmp)4, Zr(mmp)4, Ti(mmp)4, HfCI4, ZrCI4, TiCI4, Ti(NiPr2J4, Ti(NiPr2J3, tris(N,N'-dimethylacetamidinato)titanium, ZrCp2Me2, Zr(tBuCpJ2Me2! Zr(NiPr2J4, Ti(OiPr)4, Ti(O4Bu)4 (titanium tert-butoxide, TTB), Ti(NEt2J4 (tetrakis(diethylamido)titanium, TDEAT), Ti(NMeEt)4 (tetrakis(ethylmethylamido)titanium, TEMAT), Ti(NMe2J4
(tetrakis(dimethylamido)titanium, TDMAT), and Ti(THD)3 (tris(2,2,6,6-tetramethyl-3,5- heptanedionatojtitanium).
[0038] Embodiments of the inventions may utilize a wide variety of different rare earth precursors. For example, many rare earth precursors have the formula:
ML1L2L3Dx where M is a rare earth metal element selected from the group of scandium (Sc), yttrium (Y), lutetium (LuJ, lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), and ytterbium (Yb). L1, L2, L3 are individual anionic ligands, and D is a neutral donor ligand where x can be 0, 1 , 2, or 3. Each L1, L2, L3 ligand may be individually selected from the groups of aikoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, siiyls, amidinates, β-diketonates, ketoiminates, silanoates, and carboxylates. D ligands may be selected from groups of ethers, furans, pyridines, pyroles, pyrolidines, amines, crown ethers, glymes, and nitriies.
[0039] Examples of L groups and D ligands are identical to those presented above for the alkaline earth precursor formula. [0040] Representative examples of rare earth precursors include: [0041] Y precursors: Y(N(SiMe3)Z)3, Y(N(iPr)2)3, Y(N(tBu)SiMe3)3, Y(TMPD)3,
Cp3Y, (MeCp)3Y, ((nPr)Cp)3Y, ((nBu)Cp)3Y, Y(OCMe2CH2NMe2)S, Y(THD)3,
Y[OOCCH(C2Hs)C4Hs]3, Y(CiiHi9θ2)3CH3(OCH2CH2)3θCH3, Y(CF3COCHCOCF3)S,
Y(OOCCIOH7)3, Y(OOCioH19)3) and Y(O(iPr))3.
[0042] La precursors: La(N(SiMe3)2)3, La(N(iPr)2)3, La(N(tBu)SiMe3)3,
La(TMPD)3, ((JPr)Cp)3La, Cp3La, Cp3La(NCCHs)2, La(Me2NC2H4Cp)3, La(THD)3,
La[OOCCH(C2H5)C4Hg]3, La(CnH19O2)3-CH3(OCH2CH2)3OCH3,
La(CiiHi9O2)3-CH3(OCH2CH2)4OCH3, La(O(iPr))3, La(OEt)3, La(acac)3,
La(((tBu)2N)2CMe)3, La(UiPr)2N)2CMe)3, La(((tBu)2N)2C(tBu))3, La(((iPr)2N)2C(tBu))3, and La(FOD)3.
[0043] Ce precursors: Ce(N(SiMe3)2)s, Ce(N(iPr)2)3, Ce(N(tBu)SiMe3)3,
Ce(TMPD)31 Ce(FOD)3, (OPr)Cp)3Ce, Cp3Ce, Ce(Me4Cp)3, Ce(OCMe2CH2NMe2)S,
Ce(THD)3, Ce[OOCCH(C2H5)C4H9J3, Ce(Cn H igO2)3-CH3(OCH2CH2)3OCH3j
Ce(CiiHigO2)3-CH3(OCH2CH2)4OCH3! Ce(O(iPr))3i and Ce(acac)3.
[0044] Pr precursors: Pr(N(SiMe3)2)3, ((JPr)Cp)3Pr, Cp3Pr, Pr(THD)3, Pr(FOD)3,
(C5Me4H)3Pr5 Pr[OOCCH(C2H5)C4H9]S, Pr(Cn HI9O2)S-CH3(OCH2CH2)SOCH3,
Pr(O(iPr))3, Pr(acac)3i Pr(hfac}3, Pr(((tBu)2N)2CMe)3, Pr(((iPr)2N)2CMe)3,
Pr(((tBu)2N)2C(tBu))3, and Pr(((iPr)2N)2C(tBu))3.
[0045] Nd precursors: Nd(N(SiMe3)2)3, Nd(N(iPr)2)3, (((Pr)Cp)3Nd, Cp3Nd,
(C5Me4H)3Nd, Nd(THD)3, Nd[OOCCH(C2H5)C4Hs]3, Nd(O(iPr))3, Nd(acac)3,
Nd(hfac)3, Nd(F3CC(O)CHC(O)CH3)3, and Nd(FOD)3.
[0046] Sm precursors: Sm(N(Si Me3)2)3, ((JPr)Cp)3Sm, Cp3Sm, Sm(THD)3,
Sm[OOCCH(C2H5)C4Hg]3, Sm(O(iPr))3, Sm(acac)3, and (C5Me5J2Sm.
[0047] Eu precursors: Eu(N(SiMe3)2)3, ((JPr)Cp)3Eu, Cp3Eu, (Me4Cp)3Eu,
Eu(THD)3, Eu[OOCCH(C2H5)C4Hg]3, Eu(O(iPr))3, Eu(acac)3, and (C5Me5J2Eu.
[0048] Gd precursors: Gd(N(SiMe3)2)3, ((!Pr)Cp)3Gd, Cp3Gd, Gd(THD)3,
Gd[OOCCH(C2H5)C4Hg]3, Gd(O(iPr))3l and Gd(acac)3.
[0049] Tb precursors: Tb(N(SiMe3J2)S1 ((JPr)Cp)3Tb1 Cp3Tb1 Tb(THD)3,
Tb[OOCCH(C2H5)C4H9J3, Tb(O(iPr))3, and Tb(acac)3.
[0050] Dy precursors: Dy(N(SiMe3)2)3, ((i Pr)Cp)3Dy, Cp3Dy, Dy(THD)3,
Dy[OOCCH(C2H5)C4H9J3, Dy(O(iPr))3, Dy(O2C(CH2)6CH3)3, and Dy(acac)3. [0051] Ho precursors: Ho(N(SiMeS)2)S, ((!Pr)Cp)3Ho, Cp3Ho1 Ho(THD)3, Ho[OOCCH(C2H5)C4H9I3, Ho(O(iPr))3, and Ho(acac)3. [0052] Er precursors: Er(N(SiMe3)2)3, ((JPr)Cp)3Er, ((nBu)Cp)3Er, Cp3Er, Er(THD)3, Er[OOCCH(C2H5)C4H9I3, Er(O(iPr))3, and Er(acac)3. [0053] Tm precursors: Tm(N(SiMe3)2)3, ({i Pr)Cp)3Tm, Cp3Tm, Tm(THD)3, Tm[OOCCH(C2H5)C4Hg]3, Tm(O(I Pr)J3, and Tm(acac)3. [0054] Yb precursors: Yb(N(SiMe3)2)3, Yb(N(iPr)2)3, ((iPr)Cρ)3Yb, Cp3Yb, Yb(THD)3, Yb[OOCCH(C2H5)C4Hg]31 Yb(O(i Pr)J3, Yb(acac)3, (C5Me5J2Yb, Yb(hfac)3) and Yb(FOD)3.
[0055] Lu precursors: Lu(N(SiMe3)2)3, ((JPr)Cp)3Lu, Cp3Lu, Lu(THD)3, Lu[OOCCH(C2H5)C4Hg]3, Lu(O(JPr)J3, and Lu(acac)3. [0056] In the above precursors, as well as precursors set forth below, the following common abbreviations are used: Si: silicon; Me: methyl; Et: ethyl; iPr: isopropyl; nPr: n-propyl; Bu: butyi; nBu: n-butyl; sBu: sec-butyl; iBu: iso-butyl; tBu: tert-butyi; Cp: cyclopentadienyl; THD: 2,2,6,6-tetramethy[-3,5-heptanedionate; TMPD: 2,2,6,6-tetramethylpiperidide; acac: acetylacetonate; hfac: hexafluoroacetyiacetonate; and FOD: 6,6,7,7,8,8, 8-heptafluoro-2,2-dimethyl-3,5- octanedionate.
[0057] Still referring to FIG. 1A, the oxygen-containing gas supply system 46 is configured to introduce an oxygen-containing gas to the process chamber 10. The oxygen-containing gas can include oxygen (O2), water (H2O), or hydrogen peroxide (H2O2), or a combination thereof, and optionally an inert gas such as Ar. Simϋarly, the nitrogen-containing gas supply system 48 is configured to introduce a nitrogen- containing gas to the process chamber 10. The nitrogen-containing gas can include ammonia (NH3), hydrazine (N2H4), Ci - Cio alkylhydrazine compounds, or a combination thereof, and optionally an inert gas such as Ar. Common Ci and C2 alkylhydrazine compounds include monomethyl-hydrazine (MeNHNH2), 1 ,1 -dimethyl- hydrazine (Me2NNH2), and 1 ,2-dimethyl-hydrazine (MeNHNHMe). [0058] According to one embodiment of the invention, the oxygen-containing gas or the nitrogen-containing gas can include NO, NO2, or N2O, or a combination thereof, and optionally an inert gas such as Ar. [0059] Embodiments of the invention may utilize a wide variety of aluminum precursors for incorporating aluminum into the nitrided high-k films. For example, many aluminum precursors have the formula:
AIL1L2L3Dx where L1, L2, L3 are individual anionic iigands, and D is a neutral donor ligand where x can be 0, 1 , or 2. Each L1, L2, L3 ligand may be individually selected from the groups of alkoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, β-diketonates, ketoiminates, silanoates, and carboxylates. D Iigands may be selected from groups of ethers, furans, pyridines, pyroles, pyroiidines, amines, crown ethers, glymes, and nitriles.
[0060] Other examples of aluminum precursors include: AI2Me6, Al2EJe, [Ai(O(sBu))3]4, AI(CH3COCHCOCH3)3, AIBr3, AII3, Al (O(i Pr)J3, [AI(NMe2)Sb, AI(IBu)2Cl, AI(iBu)3, AI(JBu)2H1 AlEt2Cl, Et3Al2{O(sBu))3l and AI(THD)3. [0061] Embodiments of the invention may utilize a wide variety of silicon precursors for incorporating silicon into the nitrided high-k films. Examples of silicon precursors include SiH4, Si2H6, SiCl3H, SiCI2H2, SiClH3, Si2CI6, ((CH3J2N)3SiH (tris{dimethylamino) silane, TDMAS), and ((CH3J2N)2SiH2 (bis(dimethylamino) silane, TDMAS),
[0062] Still referring to FIG. 1A, the purge gas supply system 44 is configured to introduce a purge gas to process chamber 10. For example, the introduction of purge gas may occur between introduction of pulses of metal-containing precursors and an oxygen-containing gas, a nitrogen-containing gas, an aluminum precursor, and a silicon precursor to the process chamber 10. The purge gas can comprise an inert gas, such as a nobie gas (i.e., He, Ne, Ar, Kr, or Xe), nitrogen (N2), or hydrogen (H2).
[0063] Furthermore, ALD processing system 1 includes substrate temperature control system 60 coupled to the substrate holder 20 and configured to elevate and controi the temperature of substrate 25. Substrate temperature control system 60 comprises temperature control elements, such as a cooling system including a re- circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Additionally, the temperature control elements can include heating/cooling elements, such as resistive heating elements, or thermoelectric heaters/coolers, which can be included in the substrate holder 20, as well as the chamber wall of the process chamber 10 and any other component within the ALD processing system 1. The substrate temperature control system 60 can, for example, be configured to elevate and control the substrate temperature from room temperature to approximately 35O0C to 5500C. Alternatively, the substrate temperature can, for exampie, range from approximately 15O0C to 35O0C. It is to be understood, however, that the temperature of the substrate is selected based on the desired temperature for causing deposition of a particular nitrided high-k film on the surface of a given substrate.
[0064] In order to improve the thermal transfer between substrate 25 and substrate holder 20, substrate holder 20 can include a mechanical clamping system, or an electrical damping system, such as an electrostatic damping system, to affix substrate 25 to an upper surface of substrate holder 20. Furthermore, substrate holder 20 can further include a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures, For example, the substrate backside gas system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25. [0065] Furthermore, the process chamber 10 is further coupled to a pressure control system 32, including a vacuum pumping system 34 and a valve 36, through a duct 38, wherein the pressure control system 32 is configured to controllably evacuate the process chamber 10 to a pressure suitable for forming the nitrided high-k film on the substrate 25. The vacuum pumping system 34 can include a turbo-molecular vacuum pump (TMP) or a cryogenic pump capable of a pumping speed up to about 5000 liters per second (and greater) and valve 36 can include a gate valve for throttling the chamber pressure. Moreover, a device for monitoring chamber pressure (not shown) can be coupled to the process chamber 10. The pressure measuring device can be, for example, an absolute capacitance manometer. The pressure control system 32 can, for example, be configured to control the process chamber pressure between about 0.1 Torr and about 100 Torr during deposition of the nitrided high-k film.
[0066] The first process materia! supply system 40, the second process material supply system 42, the purge gas supply system 44, the oxygen-containing gas supply system 46, the nitrogen-containing gas supply system 48, the aluminum- containing gas supply system 50, and the silicon-containing gas supply system 62 can include one or more pressure control devices, one or more flow control devices, one or more filters, one or more valves, and/or one or more flow sensors. The flow control devices can include pneumatic driven valves, electro-mechanica! (soienoida!) valves, and/or high-rate pulsed gas injection valves. According to embodiments of the invention, gases may be sequentially and alternately pulsed into the process chamber 10, where the length of each gas pulse can, for example, be between about 0.1 sec and about 100 sec. Alternately, the length of each gas pulse can be between about 1 sec and about 10 sec. Exemplary gas puise lengths for metal- containing precursors can be between 0.3 and 3 sec, for example 1 sec. Exemplary gas pulse lengths for aluminum precursors and silicon-precursors can be between 0.1 and 3 sec, for example 0.3 sec. Exemplary gas puise lengths for oxygen- and nitrogen-containing gases can be between 0.3 and 3 sec, for example 1 sec. Exemplary purge gas pulses can be between 1 and 20 sec, for example 3 sec. An exemplary pulsed gas injection system is described in greater detail in pending U.S. Patent Application Publication No. 2004/0123803.
[0067] Still referring to FIG. 1 A, the controller 70 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the ALD processing system 1 as well as monitor outputs from the ALD processing system 1. Moreover, the controiier 70 may be coupled to and may exchange information with the process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, oxygen-containing gas supply system 46, nitrogen-containing gas supply system 48, aluminum- containing gas supply system 50, siiicon-containing gas supply system 62, substrate temperature control system 60, and pressure control system 32. For example, a program stored in the memory may be utilized to activate the inputs to the aforementioned components of the ALD processing system 1 according to a process recipe in order to perform a deposition process. One example of the controiier 70 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Texas.
[0068] However, the controller 70 may be implemented as a general purpose computer system that performs a portion or ali of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive. One or more processors in a multiprocessing arrangement may also be employed as the controiier microprocessor to execute the sequences of instructions contained in main memory. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
[0069] The controller 70 includes at least one computer readable medium or memory, such as the controiier memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention. Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM1 SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.
[0070] Stored on any one or on a combination of computer readable media, resides software for controlling the controiier 70, for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in impiementing the invention.
[0071] The computer code devices may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost.
[0072] The term "computer readable medium" as used herein refers to any medium that participates in providing instructions to the processor of the controller 70 for execution. A computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Nonvolatile media includes, for example, optical, magnetic disks, and magneto-optical disks, such as the hard disk or the removable media drive. Volatile media includes dynamic memory, such as the main memory. Moreover, various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to the processor of the controller 70 for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 70.
[0073] The controller 70 may be locally located relative to the ALD processing system 1 , or it may be remotely located relative to the ALD processing system 1. For example, the controller 70 may exchange data with the ALD processing system 1 using at least one of a direct connection, an intranet, the Internet and a wireless connection. The controller 70 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 70 may be coupled to the Internet, Furthermore, another computer (i.e., controller, server, etc.) may access, for example, the controller 70 to exchange data via at least one of a direct connection, an intranet, and the Snternet. As also would be appreciated by those skilled in the art, the controller 70 may exchange data with the ALD processing system 1 via a wireless connection. [0074] FlG. 1 B illustrates a PEALD processing system 100 for depositing nitrided high-k films on a substrate according to an embodiment of the invention. The PEALD processing system 100 is similar to the ALD processing system 1 described in FIG. 1 A, but further includes a plasma generation system configured to generate a plasma during at least a portion of the gas exposures in the process chamber 10. This allows formation of ozone and plasma excited oxygen from an oxygen- containing gas containing O2, H2O, hbC^ or a combination thereof, in one example, a mixture of ozone/oxygen may be formed. Similarly, plasma excited nitrogen may be formed from a nitrogen gas containing N2, NH3, or NaH4, or a combination thereof. Also, plasma excited oxygen and nitrogen may be formed from a process gas containing NO, NO2, and N2O, or a combination thereof. The plasma generation system includes a first power source 52 coupled to the process chamber 10, and configured to couple power to gases introduced into the process chamber 10 through the assembly 31. The first power source 52 may be a variable power source and may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to the plasma in process chamber 10. The electrode can be formed in the assembly 31 , and it can be configured to oppose the substrate holder 20. The impedance match network can be configured to optimize the transfer of RF power from the RF generator to the plasma by matching the output impedance of the match network with the input impedance of the process chamber, including the electrode, and plasma. For instance, the impedance match network serves to improve the transfer of RF power to plasma in process chamber 10 by reducing the reflected power, Match network topologies (e.g. L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
[0075] Alternatively, the first power source 52 may further include an antenna, such as an inductive coil, through which RF power is coupled to plasma in process chamber 10. The antenna can, for example, include a helical or solenoidal coil, such as in an inductively coupled plasma source or helicon source, or it can, for example, include a flat coil as in a transformer coupled plasma source. [0076] Alternatively, the first power source 52 may include a microwave frequency generator, and may further include a microwave antenna and microwave window through which microwave power is coupled to plasma in process chamber 10. The coupling of microwave power can be accomplished using electron cyclotron resonance (ECR) technology, or it may be employed using surface wave plasma technology, such as a slotted plane antenna (SPA), as described in U.S. Patent No. 5,024,716.
[0077] According to one embodiment of the invention, the PEALD processing system 100 includes a substrate bias generation system configured to generate or assist in generating a plasma (through substrate holder biasing) during at least a portion of the alternating introduction of the gases to the process chamber 10. The substrate bias system can include a substrate power source 54 coupled to the process substrate holder 20, and configured to couple power to the substrate 25. The substrate power source 54 may include a RF generator and an impedance match network, and may further include an electrode through which RF power is coupled to substrate 25. The eiectrode can be formed in substrate holder 20. A typical frequency for the RF bias can range from about 0,1 MHz to about 100 MHz, and can be 13.56 MHz. RF bias systems for plasma processing are well known to those skilled in the art. Alternatively, RF power is applied to the substrate holder electrode at multiple frequencies. Although the plasma generation system and the substrate bias system are illustrated in FIG. 1B as separate entities, they may indeed comprise one or more power sources coupled to substrate holder 20. [0078] In addition, the PEALD processing system 100 includes a remote plasma system 56 for providing and remotely plasma exciting an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof, prior to flowing the plasma excited gas into the process chamber 10 where it is exposed to the substrate 25. The remote plasma system 56 can, for example, contain a microwave frequency generator. The process chamber pressure can be between about 0.1 Torr and about 10 Torr, or between about 0.2 Torr and about 3 Torr. In one example, the remote plasma system can provide a mixture of ozone and O2 to the substrate 25. [0079] FIGS. 2A - 2E schematically illustrate pulse sequences for forming nitrided high-k films according to embodiments of the invention. Sequential and alternating pulse sequences are used to deposit the different components (i.e., metal elements, aluminum, oxygen, nitrogen, and silicon) of the nitrided high-k films. Since ALD and PEALD processes typically deposit less than a monolayer of material per gas pulse, it is possible to form a homogenous material using separate deposition sequences of the different components of the film. Depending on the gas selections and combination of pulse sequences, a wide variety of πitrided high-k materials may be formed that contain one or more metal elements from alkaline earth elements, rare earth elements, and Group IVB elements. The nitrided high-k film can contain a wide variety of nitrogen-containing films and oxygen-containing films. Nitrogen- containing films may be selected from metal nitride films, metal aluminum nitride films, metal silicon nitride films, and metal silicon aluminum nitride films. Oxygen- containing films may be selected from metal oxide films, metal aluminate films, metal silicate films, and metal silicon aluminate films.
[0080] FIG. 2A depicts a pulse sequence 200 for depositing a metal element from a metal-containing precursor in step 202. FiG. 2B depicts a pulse sequence 210 for depositing silicon from a silicon precursor in step 212. FIG. 2C depicts a pulse sequence 220 for incorporating oxygen into a high-k film from exposure to an oxygen-containing gas in step 222. FIG. 2D depicts a pulse sequence 230 for incorporating nitrogen into a high-k film from exposure to a nitrogen-containing gas in step 232. FIG. 2E depicts a pulse sequence 240 for depositing aluminum from an aluminum precursor in step 252.
[0081] According to the embodiments depicted in FIGS. 2A - 2E, each of the pulse sequences 200, 210, 220, 230, and 240 may include a respective purge or evacuation step 204, 214, 224, 234, and 244 to remove unreacted gas or byproducts from the process chamber. As used herein, purging steps may further include evacuating the process chamber during the purging. According to another embodiment of the invention, one or more of the purge or evacuation steps 204, 214, 224, 234, and 244 may be omitted.
[0082] FlG. 3 is a process flow diagram for forming nitrided high-k films according to embodiments of the invention. The process flows of FIG. 3 may be performed by the ALD/PEALD processing systems 1/101 of FIGS. 1 , 2, or any other suitable ALD/PEALD processing systems configured to perform an ALD/PEALD process. In FlG. 3, the process 300 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD processing system in step 302. In step 304, the substrate is exposed to a gas pulse containing a metal- containing precursor, and in step 306, the process chamber is purged or evacuated to remove unreacted metal-containing precursor and any byproducts from the process chamber,
[0083] in step 304, the metal-containing precursor reacts with the surface of the heated substrate to form a chemisorbed layer less than a monolayer thick containing the metal element. The chemisorbed layer is less than a monolayer thick due to the large size of the metal -containing precursor compared to the size of the metal element contained in the metai-containing precursor.
[0084] in step 308, the substrate is sequentially exposed to a gas pulse of a nitrogen-containing gas, and in step 310, the process chamber is purged or evacuated to remove unreacted nitrogen-containing gas and any byproducts from the process chamber. The nitrogen-containing gas can contain NH3, N2H4, Ci - C10 a iky! hydrazine compounds, plasma excited nitrogen, NO, NO2, or N2O, or a combination thereof, and optionally an inert gas such as Ar. By repeating the exposure steps 304 - 310 a predetermined number of times, as shown by the process flow arrow 320, it is possible to deposit a nitrogen-containing fϋm with a desired thickness on the substrate while achieving layer by layer growth of about 1 angstrom (10"10m) per cycle. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, a thickness of the nitrogen-containing film can be between about 5 angstrom and about 200 angstrom, or between about 5 angstrom and about 40 angstrom. [0085] In step 312, the substrate is exposed to a gas pulse containing a metal- containing precursor, and in step 314, the process chamber is purged or evacuated to remove unreacted metal-containing precursor and any byproducts from the process chamber. In step 316, the substrate is sequentially exposed to a gas pulse of oxygen-containing gas, and in step 318, the process chamber is purged or evacuated to remove unreacted oxygen-containing gas and any byproducts from the process chamber. The oxygen-containing gas can include O2, H2O, H2O2, ozone, plasma excited oxygen, NO, NO2, or N2O, or a combination thereof, and optionally an inert gas such as Ar. The exposure steps 312 - 318 may be repeated a predetermined number of times, as shown by the process flow arrow 322, to deposit an oxygen-containing film with a desired thickness on the substrate. For example, a thickness of the oxygen-containing film can be between about 5 angstrom and about 200 angstrom, or between about 5 angstrom and about 40 angstrom, [0086] According to an embodiment of the invention, the metal-containing precursor can be the same in steps 304 and 312. According to another embodiment, the metal-containing precursors in steps 304 and 312 can have different chemical formulas but contain the same metal element. According to yet another embodiment, the metal-containing precursors in steps 304 and 312 can contain different metal elements. The process flows 320 and 322 may be repeated a predetermined number of times, as shown by the process flow arrow 324, to form a plurality of alternating nitrogen-containing films and oxygen-containing films until the desired number of alternating films has been formed.
[0087] According to an embodiment of the invention, the exposure steps 304 and 312 may contain a plurality (i.e., at least two) of metal-containing precursors each having a different metal element. Thus, the gas pulses in steps 304 and 312 may contain a plurality of different metal elements to be deposited on the substrate. The relative concentration of each metal-containing precursor in each gas pulse may be independently controlled to tailor the composition of the resulting nitrided high-k film. [0088] According to the embodiment depicted in FIG. 3, a nitrogen-containing film is deposited onto a substrate as shown by process flow 320 and, subsequently, an oxygen-containing film is deposited onto the nitrogen-containing film as shown by process flow 322. According to another embodiment of the invention, the order of the film depositions may be reversed, i.e., an oxygen-containing film deposited onto a substrate and, subsequently, a nitrogen-containing film deposited onto the oxygen- containing film.
[0089] According to another embodiment of the invention, one or more of pulse sequences 210 and 240 depicted in FIG. 2 may be added to the process 300 for incorporating silicon, aluminum, or both siiicon and aluminum, into the nitrided high-k film. For example, pulse sequence 210 may be performed after exposure steps 310 and 318 for incorporating silicon into the nitrided high-k film. [0090] According to one embodiment of the invention, the method includes disposing a substrate in a process chamber, and forming a nitrided high-k film on the substrate by a) depositing a nitrogen-containing film, and b) depositing an oxygen- containing film, where steps a) and b) are alternatingly performed, in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen- containing film, and where the nitrogen-containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
[0091] According to another embodiment of the invention, the method includes disposing a substrate in a process chamber, and forming a nitrided hafnium based high-k film on the substrate by a) depositing a nitrogen-containing film, and b) depositing an oxygen-containing film, where steps a) and b) are alternatingly performed, in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen-containing film, and the nitrogen-containing film and the oxygen-containing film each contain hafnium, optionally one or more additional metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
[0092] For illustrative purposes, different regions across a thickness of a nitrided high-k film containing a nitrogen-containing film and an oxygen-containing film may be referred to as top and bottom regions. Using this exemplary description, a nitrided high-k film containing an oxygen-containing film deposited onto a substrate and a nitrogen-containing film deposited onto the oxygen-containing film may be referred to as a top nitrided high-k film since the highest nitrogen content is in the top region of the nitrided high-k film. In another example, a nitrided high-k film containing a nitrogen-containing film deposited onto a substrate and an oxygen- containing film deposited onto the nitrogen-containing film may be referred to as a bottom nitrided high-k film since the highest nitrogen content is in the bottom region of the nitrided high-k film.
[0093] Similarly, different regions across a thickness of a nitrided high-k film containing a total of three nitrogen- or oxygen-containing films may be referred to as top, middle, and bottom regions. For example, a top region can include approximately the top one third of a thickness of the nitrided high-k film, a bottom region can include approximately the bottom one third of a thickness of the nitπded high-k film nearest to the underlying substrate, and a middle region can include approximately a third of a thickness of the nitπded high-k film between the top and bottom regions Using this exemplary description, a top nitπded high-k film has the highest nitrogen content in the top region of the nitπded high-k film. Similarly, bottom and middle nitπded high-k films have the highest nitrogen content in the bottom and middle regions of the nitπded high-k films, respectively Furthermore, for example, a nitπded high-k film may be described as being bottom and middle nitπded if the nitrogen content is higher in the bottom and middle regions than in the top region In another example, a nitπded high-k film may be described as being top and bottom nitπded if the nitrogen content is higher in the top and bottom regions than in the middle region As those skilled in the art will readily recognize, each of the top, middle, and bottom regions need not be construed as being limited to approximately one thirds of a thickness of the nitπded high-k film but rather may describe regions at or near the top interface, middle (bulk), and bottom interface of the nitπded high-k film, respectively
[0094] According to an embodiment of the invention, a nitrogen-containing film is deposited in a desired region of the nitπded high-k film using alternating pulses of a metai-containing precursor and a nitrogen-containing gas. The as-deposited nitrogen-containing film may be a metal nitride film containing little or no oxygen or, alternately, the as-deposited nitrogen-containing film may contain substantial amounts of oxygen In one example, a substantial amount of oxygen may be incorporated into a nitrogen-containing film during deposition utilizing a metal- containing precursor containing oxygen. Furthermore, oxygen may be incorporated into the nitrogen-containing fiim by exposing the substrate to an oxygen-containing gas prior to, during, or after deposition of the nitrogen-containing film For example, oxygen may be incorporated onto the nitrogen-containing film by post-deposition processing such as exposure to an oxygen-containing gas with or without a plasma, or during formation of a gate electrode or a capping layer onto the nitπded high-k film.
[0095] Furthermore, oxygen may be incorporated into a nitrogen-containing fiim from an adjacent oxygen-containing film during deposition of the nitrogen-contammg film onto the oxygen-containing fiim or during deposition of the oxygen-containing fiim onto the nitrogen-containing film. Due to the oxygen incorporation into the nitrogen-containing film, the finai nitrogen content of the nitrogen-containing film is lower than would be obtained without an oxygen-containing film adjacent to (below, above, or both below and above) the nitrogen-containing film or without post- deposition processing.
[0096] According to embodiments of the invention, oxygen incorporation into the nitrogen-containing film oxidizes at least a portion of the thickness of the nitrogen- containing film. According to one embodiment, the oxidized portion contains a variable nitrogen :oxygen ratio, in one example, a nitrogen-containing film is deposited onto a substrate, and an oxygen-containing film is deposited onto the nitrogen-containing film so as to oxidize at least a portion of the thickness of the nitrogen-containing film during the deposition of the oxygen-containing fiim. In this example, the nitrogen :oxygen ratio in the oxidized portion of the thickness of the nitrogen-containing film may increase in the direction towards the substrate. According to one embodiment of the invention, the nitrogen :oxygen ratio in the nitrided high-k film may monotonically change through the thickness thereof. In the above example, where a nitrogen-containing film is deposited onto a substrate and an oxygen-containing film is deposited onto the nitrogen-containing film, the nitrogen:oxygen ratio in the nitrided high-k film may monotonically increase through the thickness thereof.
[0097] Several examples of forming nitrided high-k films according to embodiments of the invention will now be described.
EXAMPLE 1
[0098] Formation of a bottom nitrided hafnium based high-k film
[0099] A hafnium based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 1500C and 35O0C using alternating pulses of TEMAH and ammonia. Next, a hafnium based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the nitrogen- containing film at a substrate temperature between 15O0C and 35O0C using alternating pulses of TEMAH and mixture of ozone/oxygen. The ozone concentration in the mixture may be between 50 and 250 g/m3. Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen- containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film. In addition, oxygen incorporation may occur from the oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the oxygen-containing film.
EXAMPLE 2 [0100] Formation of a middle nitrided hafnium based high-k frim
[0101] A first (bottom) hafnium based oxygen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto the substrate using alternating pulses of TEMAH and mixture of ozone/oxygen. Next, a (middle) hafnium based nitrogen-containing film having a thickness between about 10 angstrom and about 20 angstrom may be deposited onto the first hafnium based oxygen-containing film using alternating pulses of TEMAH and ammonia. Next, a second (top) hafnium based oxygen-containing film is deposited onto the nitrogen- containing film. The second hafnium based oxygen-containing film may have the same thickness as the first hafnium based oxygen-containing film. Oxygen incorporation into the nitrogen-containing film during deposition of the second oxygen-containing film oxidizes at least a portion of the thickness of the nitrogen- containing film. In addition, oxygen incorporation may occur from the first and second oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen- containing film or after deposition of the second oxygen-containing film. EXAMPLE 3 [0102] Formation of a top nitrided hafnium based high-k film
[0103] A hafnium based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the substrate using alternating pulses of TEMAH and mixture of ozone/oxygen. A hafnium based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto the hafnium based oxygen-containing film using alternating pulses of TEMAH and ammonia. Oxygen incorporation into the nitrogen- containing fiim may occur from the oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film.
EXAMPLE 4 [0104] Formation of a top and bottom nitrided hafnium based high-k film
[0105] A first (bottom) hafnium based nitrogen-containing fiim having a thickness between about 5 Angstrom and about 10 Angstrom is deposited onto the substrate using alternating pulses of TEMAH and ammonia. Next, a hafnium based oxygen- containing film having a thickness between about 10 Angstrom and about 20 Angstrom is deposited onto the nitrogen-containing film using alternating pulses of TEMAH and mixture of ozone/oxygen. Next, a second (top) hafnium based nitrogen- containing film is deposited onto the oxygen-containing film. The second nitrogen- containing film may have the same thickness as the first nitrogen-containing film. Oxygen incorporation into the first nitrogen-containing film during deposition of the oxygen-containing film oxidizes at a least a portion of the thickness of the first nitrogen-containing film. In addition, oxygen incorporation into the second nitrogen- containing film may occur from the oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the first or second nitrogen-containing films. EXAMPLE 5 [0106] Formation of a top πitrided hafnium and silicon based high-k film
[0107] A hafnium and silicon based oxygen-containing film having a thickness between about 5 angstrom and about 30 angstrom is deposited onto the substrate at a substrate temperature between 150°C and 3500C using alternating pulses of TEMAH and mixture of ozone/oxygen, and alternating pulses of TDMAS and mixture of ozone/oxygen. A hafnium silicon based nitrogen-containing film having a thickness between about 5 angstrom and about 30 angstrom is deposited onto the hafnium silicon based oxygen-containing film using alternating pulses of TEMAH and ammonia, and alternating pulses of TDMAS and ammonia. Oxygen incorporation into the nitrogen-containing film may occur from the oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film.
EXAMPLE 6 [0108] Formation of a bottom nitrided hafnium and silicon based high-k film
[0109] A hafnium and silicon based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 1500C and 3500C using alternating pulses of TEMAH and ammonia, and alternating pulses of TDMAS and ammonia. Next, a hafnium silicon based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the hafnium silicon based nitrogen- containing film at a substrate temperature between 1500C and 35O0C using alternating pulses of TEMAH and mixture of ozone/oxygen, and alternating pulses of TDMAS and ozone/oxygen. Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen-containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film. In addition, oxygen incorporation may occur from the oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the oxygen-containing film.
EXAMPLE 7 [0110] Formation of a bottom nitrided hafnium and strontium based high-k film
[0111] A hafnium and strontium based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 1000C and 4000C using alternating pulses of TBAASr and ammonia, and alternating pulses of TEMAH and ammonia. Next, a hafnium and strontium based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the hafnium and strontium based nitrogen-containing fiim at a substrate temperature between 1000C and 4000C using alternating pulses of TBAASr and mixture of ozone/oxygen, and alternating pulses of TEMAH and mixture of ozone/oxygen. Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen-containing film oxidizes at least a portion of the thickness of the nitrogen-containing film. In addition, oxygen incorporation may occur from the oxygen-containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing fiim or after deposition of the oxygen-containing film.
EXAMPLE 8 [0112] Formation of a bottom nitrided lanthanum and aluminum based high-k film
[0113] A lanthanum and aluminum based nitrogen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto a substrate at a substrate temperature between 1000C and 4000C using alternating puises of La({(iPr)2N)2CMe)3 and ammonia, and alternating pulses of trimethylaluminum (TMA) and ammonia. Next, a lanthanum and aluminum based oxygen-containing film having a thickness between about 10 angstrom and about 30 angstrom is deposited onto the lanthanum and aluminum based nitrogen-containing film at a substrate temperature between 100°C and 4000C using alternating pulses of La{({iPr)2N)2CiVle)3 and mixture of ozone/oxygen, and alternating pulses of trimethyialuminum (TMA) and mixture of ozone/oxygen. Oxygen incorporation into the nitrogen-containing film during deposition of the oxygen-containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film. In addition, oxygen incorporation may occur from the oxygen -containing film. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the oxygen-containing film.
EXAMPLE 9 [0114] Formation of a middle nitrided lanthanum and aluminum based high-k film
[0115] A first (bottom) lanthanum and aluminum based oxygen-containing film having a thickness between about 5 angstrom and about 10 angstrom is deposited onto the substrate using alternating pulses of La (((JPr)2N)2CMe)S and mixture of ozone/oxygen and alternating pulses of trimethySaluminum (TMA) and ozone/oxygen. Next, a (middle) lanthanum and aluminum based nitrogen-containing film having a thickness between about 10 angstrom and about 30 angstrom may be deposited onto the first lanthanum and aluminum based oxygen-containing film using alternating pulses of La(((iPr)2N)2CMe)3 and ammonia, and alternating pulses of trimethyialuminum (TMA) and ammonia. Next, a second (top) lanthanum and aluminum oxygen-containing film is deposited onto the nitrogen-containing film. The second lanthanum and aluminum based oxygen-containing film may have the same thickness as the first oxygen-containing film. Oxygen incorporation into the nitrogen- containing film during deposition of the second oxygen-containing film oxidizes at a least a portion of the thickness of the nitrogen-containing film. In addition, oxygen incorporation may occur from the first or second oxygen-containing films. Optionally, further oxygen incorporation may be achieved by additional ozone/oxygen exposure prior to, during, or after deposition of the nitrogen-containing film or after deposition of the first or second oxygen-containing films. [0116] The preceding examples are not meant to limit or exclude use of other metal elements or metal-containing precursors in formation of the nitrided high-k films taught by embodiments of the invention. Furthermore, embodiments of the invention are not limited by the pulse sequences described in the preceding examples. It will be apparent to one skilled in the art that by adjusting the thicknesses of the nitrogen-containing films and the oxygen-containing films, or by adjusting the nitrogen-content in these films, nitrogen :oxygen ratios and nitrogen profiles across a thickness of the high-k films may be controlled to form a wide variety of different nitrided high-k films.
[0117] FIGS. 4A and 4B schematically show cross-sectional views of semiconductor devices containing nitrided high-k materials according to embodiments of the invention, in the schematic cross-sectional views, source and drain regions of the field emission transistors (FET) 90 and 91 are not shown. The FET 90 in FIG. 4A contains a semiconductor substrate 92, a nitrided high-k film 96 that serves as a gate dielectric, and a conductive gate electrode film 98 over the film 96. The nitrided high-k film 96 can contain any combination of nitrogen-containing films and oxygen-containing films. Nitrogen-containing films may be selected from metaS nitride films, metal aluminum nitride films, metal silicon nitride films, and metal silicon aluminum nitride films. Oxygen-containing films may be selected from metal oxide films, metal aluminate films, metal silicate films, and metal silicon aluminate films.
[0118] A thickness of the nitrided high-k film 96 can be between about 5 and about 200 angstrom, or between about 5 and about 40 angstrom. [0119] The FET 90 further contains a gate electrode film 98 that can, for example, be between about 5nm and about 10nm thick and can contain poly-Si, a metal, or a metal-containing material, including W, WN, WSix, Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, Pt, or Ru.
[0120] The FET 91 in FIG. 4B is similar to the FET 90 in FlG. 4A but further contains an interface layer 94 between the nitrided high-k film 96 and the substrate 92. The interface layer 94 can, for example, be an oxide layer, a nitride layer, or an oxynitride layer. [0121] According to other embodiments of the invention, the nitrided high-k films can be used in capacitors of dynamic random access memory (DRAM) devices, for example deep trench DRASvI structures or stacked DRAM structures. In a deep trench DRAM structure, a capacitor may be built into a high aspect ratio (depth/width) trench etched into a semiconductor substrate. The aspect ratio of the deep trench can, for example be between about 25 and about 60, which can benefit from highly conformal deposition methods such as ALD and PEALD. [0122] Although only certain exemplary embodiments of inventions have been described in detail above, those skilled in the art wili readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

WHAT IS CLAIMED IS:
1. A semiconductor device comprising: a substrate; and a nitrided high-k film on the substrate, wherein the nitrided high-k film comprises an oxygen-containing film, and a nitrogen-containing film that is oxidized through at least a portion of the thickness thereof, and wherein the nitrogen- containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Tabie, and optionaϋy aluminum, silicon, or aluminum and silicon.
2. The device of Claim 1 , wherein the nitrogen-containing film and the oxygen-containing film each contain hafnium, and each optionally contain one or more additiona! metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Tabie, and optionally aluminum, silicon, or aluminum and silicon.
3. The device of Claims 1 or 2, wherein the oxidized portion has a variable nitrogen :oxygen ratio.
4. The device of Claims 1 or 2, wherein the device comprises a trench etched in the substrate and the nitrided high-k film is deposited in the trench.
5. The device of Claims 1 or 2, wherein the device further comprises a conductive gate electrode film over the nitrided high-k fiim.
6. The device of Claims 1 or 2, wherein the nitrided high-k film comprises a plurality of alternating nitrogen-containing films and oxygen-containing films.
7. The device of Claims 1 or 2, wherein the nitrided high-k film comprises the nitrogen-containing film formed on the substrate and the oxygen-containing film formed on the nitrogen-containing film.
8. The device of Claim 7, wherein a nitrogen:oxygen ratio in the oxidized portion of the thickness of the nitrogen-containing film increases in a direction towards the substrate.
9. The device of Claim 1 , wherein the nitrided high-k film comprises the oxygen-containing film formed on the substrate and the nitrogen-containing film formed on the oxygen-containing film.
10. The device of Claim 1 , wherein the nitrided high-k film comprises a first oxygen-containing film formed on the substrate, the nitrogen-containing film formed on the first oxygen-containing fϋm, and a second oxygen-containing film formed on the nitrogen-containing film.
11. The device of Claim 1 , further comprising an interface layer between the substrate and the nitrided high-k film, wherein the interface layer comprises an oxide layer, a nitride layer, or an oxynitride layer.
12. The device of Claim 2, wherein the nitrogen-containing film, the oxygen- containing film, or both, further comprise aluminum, silicon, or aluminum and silicon.
13. The device of Claim 2, wherein the nitrogen-containing film and the oxygen-containing film each further comprise strontium.
14. A method of forming a nitrided high-k film, comprising: disposing a substrate in a process chamber; and forming a nitrided high-k film on the substrate by: a) depositing a nitrogen-containing film; and b) depositing an oxygen-containing film, wherein steps a) and b) are alternatingiy performed, in any order, any number of times, so as to oxidize at (east a portion of the thickness of the nitrogen-containing film, wherein the nitrogen-containing film and the oxygen-containing film comprise the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group iVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
15. The method of Claim 14, wherein the nitrogen-containing fi!m and the oxygen-containing film each comprise hafnium, optionally one or more additional metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
16. The method of Claims 14 or 15, wherein the oxidized portion of the thickness of the nitrogen-containing film comprises a variable nitrogen :oxygen ratio.
17. The method of Claims 14 or 15, wherein the forming comprises ALD or PEALD.
18. The method of Claims 14 or 15, wherein the forming includes sequentially first, performing step a), and second, performing step b), so as to oxidize at Seas! the portion of the thickness of the nitrogen-containing film during step b).
19. The method of Claim 18, wherein the nitrogen :oxygen ratio in the oxidized portion of the thickness of the nitrogen-containing film increases in the direction towards the substrate.
20. The method of Claim 18, wherein the forming further comprises: c) prior to step b), exposing the substrate to an oxygen-containing gas prior to, during, or after step a).
21. The method of Claims 14 or 15, wherein the nitrogen Oxygen ratio in the nitrided high-k film monotonically varies through the thickness thereof.
22. The method of Claim 14, wherein the nitrogen-containing film and the oxygen-containing film each comprise a plurality of metal elements selected from the alkaline earth elements, rare earth elements, and Group IVB elements.
23. The method of Claim 14, wherein the nitrogen-containing film and the oxygen-containing film each comprise hafnium and silicon.
24. The method of Claim 14, wherein the nitrogen-containing film and the oxygen-containing film each comprise hafnium and strontium.
25. The method of Claim 14, wherein step a) comprises exposing the substrate to a gas pulse sequence: i) sequentiaily first, exposing the substrate to a gas pulse comprising the one or more meta! elements selected from alkaline earth elements, rare earth elements, and Group IVB elements, and second, exposing the substrate to a gas pulse comprising a nitrogen-containing gas; ii) optionally, sequentiaily first, exposing the substrate to a gas pulse comprising an aluminum precursor, and second, exposing the substrate to a gas pulse containing the nitrogen-containing gas; and iii) optionally, sequentially first, exposing the substrate to a gas pulse containing a silicon precursor, and second, exposing the substrate to a gas pulse containing the nitrogen-containing gas, wherein each of i), optionally ii), and optionally iii) are optionally repeated any number of desired times, and wherein the gas puise sequence including i), optionally ii), and optionally iii) is optionally repeated, in any order, any number of desired times.
26. The method of Claim 25, wherein the nitrogen-containing gas comprises NH3, N2H4, Ci - C10 alkyl hydrazine compounds, plasma excited nitrogen, NO, NO2, or N2O, or a combination of two or more thereof.
27. The method of Claim 14, wherein step b) comprises exposing the substrate to a gas pulse sequence, in any order: i) sequentially first, exposing the substrate to a gas pulse comprising the one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements, and second, exposing the substrate to a gas pulse comprising an oxygen-containing gas; ii) optionally, sequentialiy first, exposing the substrate to a gas pulse comprising an aluminum precursor, and second, exposing the substrate to a gas pulse containing the oxygen-containing gas; and iii) optionally sequentially first, exposing the substrate to a gas pulse containing a silicon precursor, and second, exposing the substrate to a gas pulse containing the oxygen -containing gas, wherein each of i), optionaiiy ii), and optionally iii) are optionally repeated any number of desired times, and wherein the gas pulse sequence including i), optionally ii), and optionally iii) is optionally repeated, in any order, any number of desired times.
28. The method of Claim 27, wherein the nitrogen-containing film and the oxygen-containing film each comprise hafnium, optionally one or more additional metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table, and optionally aluminum, silicon, or aluminum and silicon.
29. The method of Claims 14 or 15, further comprising: exposing the nitrided high-k film to an oxygen-containing gas to further incorporate oxygen into the nitrided high-k film.
30. The method of C!aim 15, wherein the nitrogen-containing film, the oxygen- containing film, or both, further comprise aluminum, silicon, or aluminum and silicon.
PCT/US2007/079681 2006-09-29 2007-09-27 Semiconductor devices containing nitrided high dielectric constant films and method of forming WO2008042695A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/537,245 2006-09-29
US11/537,245 US7767262B2 (en) 2006-09-29 2006-09-29 Nitrogen profile engineering in nitrided high dielectric constant films
US11/537,492 2006-09-29
US11/537,492 US20080079111A1 (en) 2006-09-29 2006-09-29 Semiconductor devices containing nitrided high dielectric constant films

Publications (2)

Publication Number Publication Date
WO2008042695A2 true WO2008042695A2 (en) 2008-04-10
WO2008042695A3 WO2008042695A3 (en) 2008-10-16

Family

ID=39271582

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/079681 WO2008042695A2 (en) 2006-09-29 2007-09-27 Semiconductor devices containing nitrided high dielectric constant films and method of forming

Country Status (2)

Country Link
TW (1) TW200825204A (en)
WO (1) WO2008042695A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220406594A1 (en) * 2021-06-18 2022-12-22 Applied Materials, Inc. Processes for depositing sib films
CN116536647A (en) * 2023-05-05 2023-08-04 浙江大学 Film for realizing low-temperature high-quality film growth and deposition method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168096A (en) * 1997-12-04 1999-06-22 Sony Corp Formation of highly dielectric oxide film
US20050130442A1 (en) * 2003-12-11 2005-06-16 Visokay Mark R. Method for fabricating transistor gate structures and gate dielectrics thereof
EP1548839A1 (en) * 2003-12-26 2005-06-29 Semiconductor Leading Edge Technologies, Inc. Semiconductor device, method for manufacturing the same, apparatus and method for forming high-dielectric-constant film
US20050272196A1 (en) * 2004-05-31 2005-12-08 Anelva Corporation Method of depositing a higher permittivity dielectric film
US20060151823A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan High dielectric constant materials

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168096A (en) * 1997-12-04 1999-06-22 Sony Corp Formation of highly dielectric oxide film
US20050130442A1 (en) * 2003-12-11 2005-06-16 Visokay Mark R. Method for fabricating transistor gate structures and gate dielectrics thereof
EP1548839A1 (en) * 2003-12-26 2005-06-29 Semiconductor Leading Edge Technologies, Inc. Semiconductor device, method for manufacturing the same, apparatus and method for forming high-dielectric-constant film
US20050272196A1 (en) * 2004-05-31 2005-12-08 Anelva Corporation Method of depositing a higher permittivity dielectric film
US20060151823A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan High dielectric constant materials

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220406594A1 (en) * 2021-06-18 2022-12-22 Applied Materials, Inc. Processes for depositing sib films
CN116536647A (en) * 2023-05-05 2023-08-04 浙江大学 Film for realizing low-temperature high-quality film growth and deposition method thereof

Also Published As

Publication number Publication date
TW200825204A (en) 2008-06-16
WO2008042695A3 (en) 2008-10-16

Similar Documents

Publication Publication Date Title
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
EP2011145B1 (en) Method of forming films of mixed rare earth aluminates by atomic layer deposition
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
KR101366541B1 (en) Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7531452B2 (en) Strained metal silicon nitride films and method of forming
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
JP5792172B2 (en) Method of pulse chemical vapor deposition of metal-silicon-containing films
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7494937B2 (en) Strained metal silicon nitride films and method of forming
WO2020023837A1 (en) Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US20100237395A1 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
US7713868B2 (en) Strained metal nitride films and method of forming
US8178446B2 (en) Strained metal nitride films and method of forming
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07843327

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07843327

Country of ref document: EP

Kind code of ref document: A2