WO2007140193A1 - Selective tantalum carbide etchant, methods of production and uses thereof - Google Patents

Selective tantalum carbide etchant, methods of production and uses thereof Download PDF

Info

Publication number
WO2007140193A1
WO2007140193A1 PCT/US2007/069506 US2007069506W WO2007140193A1 WO 2007140193 A1 WO2007140193 A1 WO 2007140193A1 US 2007069506 W US2007069506 W US 2007069506W WO 2007140193 A1 WO2007140193 A1 WO 2007140193A1
Authority
WO
WIPO (PCT)
Prior art keywords
weight percent
etchant
solvent
fluorine
less
Prior art date
Application number
PCT/US2007/069506
Other languages
French (fr)
Inventor
John Starzynski
Original Assignee
Honeywell International Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc. filed Critical Honeywell International Inc.
Publication of WO2007140193A1 publication Critical patent/WO2007140193A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Definitions

  • This invention relates generally to semiconductor manufacturing and, in particular, to an etchant capable of selectively etching tantalum carbide materials.
  • the channel length (the distance between the source and the drain) will shrink as well.
  • a shorter channel means faster transition switching because the charger carriers have a shorter distance to travel.
  • the voltage on the drain begins to lower the energy barrier in the channel, reducing the threshold voltage and freeing carriers to flow even when there is no voltage on the gate. This is referred to as the short-channel effect and causes power consumption to rise and ultimately destroys transistor-switching action completely.
  • the gate controls or holds electrons in the channels strictly through capacitive coupling, being separated from the channel by an oxide insulating barrier immune to the passage of charge carriers.
  • an oxide insulating barrier immune to the passage of charge carriers is ideally, the thickness of the gate oxide is shrinking to less than 2 nanometers. An oxide this thin allows a significant amount of current to flow from gate to channel substrate.
  • Metal or fully suicided gates will need to be utilized with these high-k films due to boron penetration and Fermi-level pinning that occur with poly-silicon gates, (see C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, J. Grant, L. Dip, D. Triyoso, R. Hegde, D. Gilmer, R. Garcia, D. Roan, L.Lovejoy, R. Rai, L. Hebert, H. Tseng, B. White, and P. Tobin, VLSI Technology Digest, 9 (2003)) Engineering a metal gate with a work function optimized for both the NMOS and PMOS regions has proved to be very difficult.
  • Prior Art Figure 1 (a)-1 (d) if only one of one of the gate electrodes utilizes tantalum carbide (TaC), then it must be removed from the other gate region (Prior Art Fig. 1 b). A wet etchant must be employed for this since dry etching will damage the HfO 2 under the 2 nd gate electrode.
  • the first gate is deposited and the resist patterned.
  • the layered stack comprises a metal oxide semiconductor (MOS) layer that is p-doped (1 10) and n-doped (120).
  • MOS metal oxide semiconductor
  • a shallow trench isolation (130) is located in this layer.
  • a hafnium dioxide layer 140
  • a tantalum carbide layer 150
  • a TiN layer 160
  • a silicon dioxide layer 170
  • a resist layer 180
  • the stack (100) is wet-etched and the resist material (180) is removed.
  • the second gate is deposited through application of a ruthenium layer (190), an alpha-silicon layer (195) and a resist layer (198), and the resist (198) is pattered, as shown in Prior Art Figure 1 (c).
  • the stack is dry-etched and the resist removed.
  • the TaC etchant must possess a high TaC to HfO 2 (TaCZHfO 2 ) etch selectively and a high TaC to SiO 2 (TaCVSiO 2 ) etch selectivity in order to minimize the loss of the HfO 2 layer and the SiO 2 hard mask. Therefore, there are several goals that should be addressed when formulating etchants to selectively remove tantalum carbide.
  • Important considerations are a) selective wet etchant that will selectively and efficiently remove tantalum carbide while not removing other layers, such as the high dielectric constant layers or materials and hard mask materials and layers; b) etchants that are not significantly flammable, as compared to etchants containing alcohols; c) etchants that can selectively and efficiently etch at or near room temperature; and d) etchants that are cost efficient to produce/manufacture.
  • Etchants for selective removal of tantalum carbide are described herein that comprise at least one fluorine-based constituent; at least one chelating agent and at least one solvent or solvent mixture.
  • Etchants are also described herein that comprise at least one fluorine-based constituent and at least one solvent, wherein the combination of the at least one fluorine-based etchant and the at least one solvent selectively etches tantalum carbide.
  • Methods are also described herein for producing a wet etching chemistry solution that include providing at least one fluorine-based constituent, optionally providing at least one chelating agent; providing at least one solvent or solvent mixture, and combining the fluorine-based constituent and chelating agent into the at least one solvent or solvent mixture to form the wet etching chemistry solution.
  • FIG. 1 (a)-1 (d) show contemplated dual metal gate fabrication steps including a) 1 st gate deposition and resist pattern, b) wet etch and mask removal, c) 2nd gate deposition and resist pattern, and d) dry etch and resist removal.
  • Figure 2 shows a response surface of the TaCVHfO 2 etch selectivity as a function of the concentration of HF and oxalic acid with the temperature fixed at the middle (O) setting.
  • Figure 3 shows a contour plot of the TaC/TOx etch selectivity as a function of temperature and the concentration of HF with the concentration of oxalic acid fixed at the middle (0) setting.
  • etchants have been developed that a) selectively remove tantalum carbide while not removing other layers, such as the high dielectric constant layers or materials and hard mask materials and layers; b) are not significantly flammable, as compared to etchants containing alcohols; c) can selectively and efficiently etch at or near room temperature; and d) are cost efficient to produce/manufacture.
  • An etchant for selective removal of tantalum carbide is described herein that comprises at least one fluorine-based constituent; at least one chelating agent and at least one solvent or solvent mixture.
  • Etchants are also described herein that comprise at least one fluorine-based constituent and at least one solvent, wherein the combination of the at least one fluorine-based etchant and the at least one solvent selectively etches tantalum carbide.
  • Such methods include providing the constituents of the wet etching chemistry formulation, blending the constituents to form the formulation and applying the formulation to a surface or substrate.
  • the formulation may be produced in situ (directly on the surface) or may be formed before application to the surface.
  • a wet etching chemistry solution that include providing at least one fluorine-based constituent, providing at least one chelating agent; providing at least one solvent or solvent mixture, and combining the fluorine- based constituent and the chelating agent (such as oxalic acid) into the at least one solvent or solvent mixture to form the wet etching chemistry solution.
  • the wet etching chemistry solutions are in low H 2 O content and/or anhydrous environments, primarily because any additional water degrades the selectivity of the wet etchant.
  • the term "environment" means that environment in the solution containing the at least one low H 2 O content fluorine-based constituent and the at least one solvent or solvent mixture.
  • a non-aqueous environment means that the solution is non-aqueous and does not refer to the overall humidity level of the air in the lab or building.
  • the phrase "low H 2 O content” means that the solution comprises less than about 10% water by volume. In some embodiments, the solution comprises less than about 5% water by volume. In other embodiments, the solution comprises less than about 2.5% water by volume. In yet other embodiments, the solution comprises less than about 1 % water by volume. For some embodiments, the solution comprises less than about 0.5% water by volume. And in other embodiments, the solution is substantially anhydrous.
  • the fluorine-based constituent may be added in any suitable manner, including bubbling a gas comprising the fluorine-based constituent into the at least one solvent or solvent mixture and/or oxalic acid or blending the fluorine-based constituent into the at least one solvent or solvent mixture and/or oxalic acid.
  • anhydrous hydrogen fluoride gas is bubbled into the desired solvent or mixture of solvents and/or oxalic acid. It has been surprisingly discovered that the introduction of low H 2 O content and/or anhydrous fluorine-based constituents into at least one solvent or solvent mixture allows the user to control water content of the final etching solution and also provides superior etching properties for semiconductor and electronic applications.
  • the at least one fluorine-based constituent may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 20% by weight. In other embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 10% by weight. In yet other embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 5% by weight. And in some embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 2.5% by weight.
  • the wet etchants described herein may also comprise at least one chelating agent. In some embodiments, the wet etchants comprise less than about 10 weight percent of the at least one chelating agent. In other embodiments, the wet etchants comprise less than about 5 weight percent of the at least one chelating agent. In yet other embodiments, the wet etchants comprise less than about 2 weight percent of the at least one chelating agent.
  • the wet etchants disclosed herein comprise at least one fluorine-based constituent.
  • the at least one fluorine-based constituent may comprise any suitable fluoride source, such as R 1 R 2 R 3 R 4 NF, where R-i, R 2 , R 3 and R 4 can be the same or different and can be H or any hydrocarbon moiety of 10 or less carbon units and may be aliphatic, aromatic or cyclic, such as ammonium fluoride, tetramethylammonium fluoride, tetrabutylammonium fluoride, tetraethylammonium fluoride or benzyltrimethylammonium fluoride; hydrogen fluoride, pyridine hydrogen fluoride, ammonium bifluohde or combinations thereof.
  • Hydrogen fluoride may be obtained from suitable sources, such as anhydrous hydrogen fluoride gas or pyhdinium hydrogen fluoride.
  • the at least one fluorine-based constituent comprises anhydrous hydrogen fluoride.
  • the at least one fluorine-based constituent and optionally the at least one chelating agent is added to at least one solvent or solvent mixture.
  • Contemplated solvents include any suitable pure or mixture of organic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs.
  • the solvent may also comprise any suitable pure or mixture of polar and non-polar compounds.
  • pure means that component that has a constant composition. For example, pure water is composed solely of H 2 O.
  • mixture means that component that is not pure, including salt water.
  • polar means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • non-polar means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound.
  • the solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, diethyl ketone, methyl ethyl ketone and the like, alcohols, esters, ethers and amines.
  • Other contemplated solvents include propylene carbonate, butylene carbonate, ethylene carbonate, gamma-butyrolactone, propylene glycol, ethylene glycol, ethyl lactate, propylene glycol monomethyl ether acetate or a combination thereof.
  • the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
  • the at least one solvent or solvent mixture may be those solvents that contain nitrogen atoms, phosphorus atoms, sulfur atoms or a combination thereof, such as
  • the solvent or solvent mixture may comprise those solvents that are considered part of the hydrocarbon family of solvents.
  • Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non- polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents.
  • Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together.
  • Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, thdecane, pentadecane, cyclopentane, 2,2,4-thmethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1 ,2-dimethylbenzene, 1 ,2,4-thmethylbenzene, mineral spirits, keros
  • Solvents and solvent mixtures may be present in solution in an amount less than about 99.5% by weight. In some embodiments, the solvents or solvent mixtures may be present in solution in an amount from about 30% to about 99.5% by weight.
  • the solvents used herein may comprise any suitable impurity level, such as less than about 1 ppm, less than about 100 ppb, less than about 10 ppb, less than about 1 ppb, less than about 100 ppt, less than about 10 ppt and in some cases, less than about 1 ppt.
  • These solvents may be purchased having impurity levels that are appropriate for use in these contemplated applications or may need to be further purified to remove additional impurities and to reach the less than about 10 ppb, less than about 1 ppb, less than about 100 ppt or lower levels that are becoming more desirable in the art of etching and cleaning.
  • Chelating agents such as an organic acid (acetic acid, citric acid, lactic acid, oxalic acid, tartaric acid, gluconic acid, iminodiacetic acid, succinic acid, malic acid, maleic acid or a combination thereof), an amine (hexamethylenetetramine, thethanolamine, nitrilotriacetic acid, tris(2-pyhdylmethyl)amine, EDTA), phosphonates, such as diamyl amylphosphonate, bis(2-chloroethyl) methyl phosphonate, dibutyl butylphosphonate, diethyl benzylphosphonate, nithloths(methylene)thphosphonic acid, hydroxyethylidenediphosphonic acid, sulfonic acid, such as 3-(N-tris[hydroxymethyl]methylamine)-2- hydroxypropanesulfonic acid, 3([1 ,1-dimethyl-2-hydroxyethyl)amine]
  • chelating agents comprise metal chelating agents.
  • the at least one chelating agent may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one chelating agent may be present in solution in an amount from about 0.001 % to about 20% by weight. In some embodiments, at least two chelating agents may be present in solution.
  • the at least one fluorine-based constituent, the at least one chelating agent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein may be provided by any suitable method, including a) buying at least some of at least one fluorine-based constituent, the at least one chelating agent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein from a supplier; b) preparing or producing at least some of the at least one fluorine-based constituent, the at least one chelating agent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein in house using chemicals provided by another source and/or c) preparing or producing at least some of the at least one fluorine- based constituent, the oxalic acid, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein in house using chemicals also produced or provided in house or at the location.
  • the etchant is a solution of anhydrous hydrogen fluoride and oxalic acid at relatively low concentrations, i.e., less than 4% weight percent for each component.
  • the remainder of the solution is a solvent that comprises propylene carbonate.
  • etchants comprise about 0.1 to 5 weight percent HF; less than about 1 weight percent of oxalic acid; and at least one solvent or solvent mixture. In other contemplated embodiments, etchants comprise about 3.6 weight percent of anhydrous HF, about 0.8 weight percent of oxalic acid, and at least one solvent or solvent mixture comprising propylene carbonate.
  • the etchant composition described above may also be used in a method for etching a semiconductor substrate comprising tantalum carbide, such as those described herein.
  • One contemplated method of etching tantalum carbide comprises a) providing an etching solution such as those described herein; b) providing a layered material comprising tantalum carbide; c) applying the etching solution to the layered material; and d) etching at least part of the tantalum carbide.
  • Wafers and layered materials contemplated herein comprise those wafers and layered materials that are utilized or considered to be utilized in semiconductor or electronic applications, such as dual damascene structures, and comprise at least one layer of material.
  • Surfaces contemplated herein may comprise any desirable substantially solid material, such as a substrate, wafer or other suitable surface.
  • Particularly desirable substrate layers would comprise films, organic polymer, inorganic polymer, glass, ceramic, plastic, metal or coated metal, or composite material.
  • Surface and/or substrate layers comprise at least one layer and in some instances comprise a plurality of layers.
  • the substrate comprises a material common in the integrated circuit industries as well as the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
  • Suitable surfaces contemplated herein may also include another previously formed layered stack, other layered component, or other component altogether.
  • An example of this may be where a dielectric material and CVD barrier layer are first laid down as a layered stack - which is considered the "surface" for the subsequently spun-on layered component.
  • At least one layer may be coupled to the surface or substrate creating a multilayered stack.
  • the term “coupled” means that the surface and layer or two layers are physically attached to one another or there's a physical attraction between two parts of matter or components, including bond forces such as covalent and ionic bonding, and non-bond forces such as Van der Waals, electrostatic, coulombic, hydrogen bonding and/or magnetic attraction.
  • the term coupled is meant to encompass a situation where the surface and layer or two layers are directly attached to one another, but the term is also meant to encompass the situation where the surface and the layer or plurality of layers are coupled to one another indirectly - such as the case where there's an adhesion promoter layer between the surface and layer or where there's another layer altogether between the surface and layer or plurality of layers.
  • Electronic-based products can be "finished” in the sense that they are ready to be used in industry or by other consumers. Examples of finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control. Also contemplated are “intermediate” products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
  • Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up/mock-up.
  • a prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
  • Electronic component means any device or part that can be used in a circuit to obtain some desired electrical action.
  • Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components.
  • Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field-effect transistors, and integrated circuits.
  • Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
  • Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators.
  • conductors are components that allow charge carriers (such as electrons) to move with ease among atoms as in an electric current.
  • Examples of conductor components are circuit traces and vias comprising metals.
  • Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of current, such as a material employed to electrically separate other components
  • semiconductors are components having a function that is substantially related to the ability of a material to conduct current with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyhstors and photosensors.
  • Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells.
  • battery means a device that produces usable amounts of electrical power through chemical reactions.
  • rechargeable or secondary batteries are devices that store usable amounts of electrical energy through chemical reactions.
  • Power consuming components include resistors, transistors, ICs, sensors, and the like.
  • Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors.
  • Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are ICs, i.e., integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
  • a response surface of the TaCVHfO 2 etch selectivity as a function of the concentrations of component A (hydrogen fluoride) and component B (oxalic acid) with the temperature fixed at the middle (O) setting, depicted in Figure 2 is an example of the results that were collected.
  • a contour plot of the TaCVTO x etch selectivity as a function of temperature and the concentrations of component A with the concentration of component B fixed at the middle (O) setting, depicted in Figure 3 is another example of the results that were collected.
  • the results of this DOE study were utilized to formulate a selective TaC etchant, with a TaC/ HfO 2 selectivity >100, that can be utilized with a SiO 2 hard mask.

Abstract

Etchants for selective removal of tantalum carbide are described herein that comprise at least one fluorine-based constituent; at least one chelating agent and at least one solvent or solvent mixture. Etchants are also described herein that comprise at least one fluorine-based constituent and at least one solvent, wherein the combination of the at least one fluorine-based etchant and the at least one solvent selectively etches tantalum carbide. In addition, methods are also described herein for producing a wet etching chemistry solution that include providing at least one fluorine-based constituent, optionally providing at least one chelating agent; providing at least one solvent or solvent mixture, and combining the fluorine-based constituent and the chelating agent into the at least one solvent or solvent mixture to form the wet etching chemistry solution.

Description

SELECTIVE TANTALUM CARBIDE ETCHANT, METHODS OF PRODUCTION AND USES THEREOF
FIELD OF THE INVENTION This invention relates generally to semiconductor manufacturing and, in particular, to an etchant capable of selectively etching tantalum carbide materials.
BACKGROUND OF THE INVENTION
As transistor dimensions continue to shrink, the channel length (the distance between the source and the drain) will shrink as well. A shorter channel means faster transition switching because the charger carriers have a shorter distance to travel. However, it becomes harder for the gate to maintain control over the channel.
Instead, the voltage on the drain begins to lower the energy barrier in the channel, reducing the threshold voltage and freeing carriers to flow even when there is no voltage on the gate. This is referred to as the short-channel effect and causes power consumption to rise and ultimately destroys transistor-switching action completely.
Ideally, the gate controls or holds electrons in the channels strictly through capacitive coupling, being separated from the channel by an oxide insulating barrier immune to the passage of charge carriers. However, for the 90 nanometer node and below, the thickness of the gate oxide is shrinking to less than 2 nanometers. An oxide this thin allows a significant amount of current to flow from gate to channel substrate.
One solution to this problem is the replacement of the gate insulation, silicon dioxide, with the material having a higher dielectric constant. Thus, a gate over a thick, high-k insulator can control the channel just as effectively as one over a thinner lower-k insulator. Several promising candidates are being studied, including hafnium dioxide, hafnium silicate, HfSiON, zirconium oxide, zirconium silicate and dielectrics that include lanthanum and/or aluminum. Hafnium dioxide, whose dielectric constant (k) is about 22 for example, allows the gate to control the channel despite the oxide being several times thicker than silicon dioxide. (see J. S. Starzynski, Semiconductor International, 29(4), 42 (2006))
Metal or fully suicided gates will need to be utilized with these high-k films due to boron penetration and Fermi-level pinning that occur with poly-silicon gates, (see C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, J. Grant, L. Dip, D. Triyoso, R. Hegde, D. Gilmer, R. Garcia, D. Roan, L.Lovejoy, R. Rai, L. Hebert, H. Tseng, B. White, and P. Tobin, VLSI Technology Digest, 9 (2003)) Engineering a metal gate with a work function optimized for both the NMOS and PMOS regions has proved to be very difficult. Fabricating transistors with dual metal gates is one solution to this problem, (see Z. Zhang, S. C. Song, C. Huffman, M. M. Hussain, J. Barnett, N. Moumen, H. N. Alshareef, P. Majhi, J. H. Sim, S. H. Bae, and B. H. Lee, Electrochem. Solid-State Lett, 8(10), G271 (2005) and M. M. Hussain, N. Moumen, J. Barnett, J. Saulters, D. Baker, and Z. Zhang, Electrochem. Solid-State Lett, 8(12), G333 (2005)) As depicted in Prior Art Figure 1 (a)-1 (d), if only one of one of the gate electrodes utilizes tantalum carbide (TaC), then it must be removed from the other gate region (Prior Art Fig. 1 b). A wet etchant must be employed for this since dry etching will damage the HfO2 under the 2nd gate electrode. As shown in Prior Art Figure 1 (a), the first gate is deposited and the resist patterned. In Figure 1 (a), the layered stack comprises a metal oxide semiconductor (MOS) layer that is p-doped (1 10) and n-doped (120). A shallow trench isolation (130) is located in this layer. Built on the MOS layer is a hafnium dioxide layer (140), followed by a tantalum carbide layer (150), a TiN layer (160), a silicon dioxide layer (170) and then a resist layer (180). In Prior Art Figure 1 (b), the stack (100) is wet-etched and the resist material (180) is removed. The second gate is deposited through application of a ruthenium layer (190), an alpha-silicon layer (195) and a resist layer (198), and the resist (198) is pattered, as shown in Prior Art Figure 1 (c). Finally, as shown in Prior Art Figure 1 (d), the stack is dry-etched and the resist removed. The TaC etchant must possess a high TaC to HfO2 (TaCZHfO2) etch selectively and a high TaC to SiO2 (TaCVSiO2) etch selectivity in order to minimize the loss of the HfO2 layer and the SiO2 hard mask. Therefore, there are several goals that should be addressed when formulating etchants to selectively remove tantalum carbide. Important considerations are a) selective wet etchant that will selectively and efficiently remove tantalum carbide while not removing other layers, such as the high dielectric constant layers or materials and hard mask materials and layers; b) etchants that are not significantly flammable, as compared to etchants containing alcohols; c) etchants that can selectively and efficiently etch at or near room temperature; and d) etchants that are cost efficient to produce/manufacture.
SUMMARY OF THE INVENTION
Etchants for selective removal of tantalum carbide are described herein that comprise at least one fluorine-based constituent; at least one chelating agent and at least one solvent or solvent mixture. Etchants are also described herein that comprise at least one fluorine-based constituent and at least one solvent, wherein the combination of the at least one fluorine-based etchant and the at least one solvent selectively etches tantalum carbide.
Methods are also described herein for producing a wet etching chemistry solution that include providing at least one fluorine-based constituent, optionally providing at least one chelating agent; providing at least one solvent or solvent mixture, and combining the fluorine-based constituent and chelating agent into the at least one solvent or solvent mixture to form the wet etching chemistry solution.
BRIEF DESCRIPTION OF THE DRAWINGS
Prior Art Figures 1 (a)-1 (d) show contemplated dual metal gate fabrication steps including a) 1 st gate deposition and resist pattern, b) wet etch and mask removal, c) 2nd gate deposition and resist pattern, and d) dry etch and resist removal. Figure 2 shows a response surface of the TaCVHfO2 etch selectivity as a function of the concentration of HF and oxalic acid with the temperature fixed at the middle (O) setting.
Figure 3 shows a contour plot of the TaC/TOx etch selectivity as a function of temperature and the concentration of HF with the concentration of oxalic acid fixed at the middle (0) setting.
DETAILED DESCRIPTION
As described herein, etchants have been developed that a) selectively remove tantalum carbide while not removing other layers, such as the high dielectric constant layers or materials and hard mask materials and layers; b) are not significantly flammable, as compared to etchants containing alcohols; c) can selectively and efficiently etch at or near room temperature; and d) are cost efficient to produce/manufacture.
An etchant for selective removal of tantalum carbide is described herein that comprises at least one fluorine-based constituent; at least one chelating agent and at least one solvent or solvent mixture. Etchants are also described herein that comprise at least one fluorine-based constituent and at least one solvent, wherein the combination of the at least one fluorine-based etchant and the at least one solvent selectively etches tantalum carbide.
Methods of forming and uses of these wet etching chemistries are also contemplated and described herein. Such methods include providing the constituents of the wet etching chemistry formulation, blending the constituents to form the formulation and applying the formulation to a surface or substrate. In some embodiments, the formulation may be produced in situ (directly on the surface) or may be formed before application to the surface. Specifically, methods are described herein for producing a wet etching chemistry solution that include providing at least one fluorine-based constituent, providing at least one chelating agent; providing at least one solvent or solvent mixture, and combining the fluorine- based constituent and the chelating agent (such as oxalic acid) into the at least one solvent or solvent mixture to form the wet etching chemistry solution. The wet etching chemistry solutions are in low H2O content and/or anhydrous environments, primarily because any additional water degrades the selectivity of the wet etchant. As used herein, the term "environment" means that environment in the solution containing the at least one low H2O content fluorine-based constituent and the at least one solvent or solvent mixture. The term "environment" does not mean the environment surrounding the solution, such as the environment present in the lab or in the building. For example, a non-aqueous environment means that the solution is non-aqueous and does not refer to the overall humidity level of the air in the lab or building. As used herein, the phrase "low H2O content" means that the solution comprises less than about 10% water by volume. In some embodiments, the solution comprises less than about 5% water by volume. In other embodiments, the solution comprises less than about 2.5% water by volume. In yet other embodiments, the solution comprises less than about 1 % water by volume. For some embodiments, the solution comprises less than about 0.5% water by volume. And in other embodiments, the solution is substantially anhydrous.
The fluorine-based constituent may be added in any suitable manner, including bubbling a gas comprising the fluorine-based constituent into the at least one solvent or solvent mixture and/or oxalic acid or blending the fluorine-based constituent into the at least one solvent or solvent mixture and/or oxalic acid. In one contemplated embodiment, anhydrous hydrogen fluoride gas is bubbled into the desired solvent or mixture of solvents and/or oxalic acid. It has been surprisingly discovered that the introduction of low H2O content and/or anhydrous fluorine-based constituents into at least one solvent or solvent mixture allows the user to control water content of the final etching solution and also provides superior etching properties for semiconductor and electronic applications.
The at least one fluorine-based constituent may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 20% by weight. In other embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 10% by weight. In yet other embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 5% by weight. And in some embodiments, the at least one fluorine-based constituent is present in solution in an amount from about 0.1 % to about 2.5% by weight.
The wet etchants described herein may also comprise at least one chelating agent. In some embodiments, the wet etchants comprise less than about 10 weight percent of the at least one chelating agent. In other embodiments, the wet etchants comprise less than about 5 weight percent of the at least one chelating agent. In yet other embodiments, the wet etchants comprise less than about 2 weight percent of the at least one chelating agent.
As mentioned, the wet etchants disclosed herein comprise at least one fluorine-based constituent. The at least one fluorine-based constituent may comprise any suitable fluoride source, such as R1R2R3R4NF, where R-i, R2, R3 and R4 can be the same or different and can be H or any hydrocarbon moiety of 10 or less carbon units and may be aliphatic, aromatic or cyclic, such as ammonium fluoride, tetramethylammonium fluoride, tetrabutylammonium fluoride, tetraethylammonium fluoride or benzyltrimethylammonium fluoride; hydrogen fluoride, pyridine hydrogen fluoride, ammonium bifluohde or combinations thereof. Hydrogen fluoride may be obtained from suitable sources, such as anhydrous hydrogen fluoride gas or pyhdinium hydrogen fluoride. In some embodiments, the at least one fluorine-based constituent comprises anhydrous hydrogen fluoride.
The at least one fluorine-based constituent and optionally the at least one chelating agent is added to at least one solvent or solvent mixture. Contemplated solvents include any suitable pure or mixture of organic molecules that are volatilized at a desired temperature, such as the critical temperature, or that can facilitate any of the above-mentioned design goals or needs. The solvent may also comprise any suitable pure or mixture of polar and non-polar compounds. As used herein, the term "pure" means that component that has a constant composition. For example, pure water is composed solely of H2O. As used herein, the term "mixture" means that component that is not pure, including salt water. As used herein, the term "polar" means that characteristic of a molecule or compound that creates an unequal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. As used herein, the term "non-polar" means that characteristic of a molecule or compound that creates an equal charge, partial charge or spontaneous charge distribution at one point of or along the molecule or compound. One of ordinary skill in the art of chemistry and etching solutions will know which solvents are non-polar and which solvents are clearly polar in nature.
The solvent or solvent mixture may comprise those solvents that are not considered part of the hydrocarbon solvent family of compounds, such as ketones, such as acetone, diethyl ketone, methyl ethyl ketone and the like, alcohols, esters, ethers and amines. Other contemplated solvents include propylene carbonate, butylene carbonate, ethylene carbonate, gamma-butyrolactone, propylene glycol, ethylene glycol, ethyl lactate, propylene glycol monomethyl ether acetate or a combination thereof. In yet other contemplated embodiments, the solvent or solvent mixture may comprise a combination of any of the solvents mentioned herein.
The at least one solvent or solvent mixture may be those solvents that contain nitrogen atoms, phosphorus atoms, sulfur atoms or a combination thereof, such as
N-methyl-2-pyrrolidone, N,N-dimethylacetamide, dimethyl sulfoxide, sulfuric acid, pyridine or a combination thereof. Both the etching and the cleaning solutions contemplated herein also utilize a compatible solvent constituent.
The solvent or solvent mixture (comprising at least two solvents) may comprise those solvents that are considered part of the hydrocarbon family of solvents. Hydrocarbon solvents are those solvents that comprise carbon and hydrogen. It should be understood that a majority of hydrocarbon solvents are non- polar; however, there are a few hydrocarbon solvents that could be considered polar. Hydrocarbon solvents are generally broken down into three classes: aliphatic, cyclic and aromatic. Aliphatic hydrocarbon solvents may comprise both straight-chain compounds and compounds that are branched and possibly crosslinked, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are those solvents that comprise at least three carbon atoms oriented in a ring structure with properties similar to aliphatic hydrocarbon solvents. Aromatic hydrocarbon solvents are those solvents that comprise generally three or more unsaturated bonds with a single ring or multiple rings attached by a common bond and/or multiple rings fused together. Contemplated hydrocarbon solvents include toluene, xylene, p-xylene, m-xylene, mesitylene, solvent naphtha H, solvent naphtha A, alkanes, such as pentane, hexane, isohexane, heptane, nonane, octane, dodecane, 2-methylbutane, hexadecane, thdecane, pentadecane, cyclopentane, 2,2,4-thmethylpentane, petroleum ethers, halogenated hydrocarbons, such as chlorinated hydrocarbons, nitrated hydrocarbons, benzene, 1 ,2-dimethylbenzene, 1 ,2,4-thmethylbenzene, mineral spirits, kerosine, isobutylbenzene, methylnaphthalene and ethyltoluene. Particularly contemplated solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene and mixtures or combinations thereof.
Solvents and solvent mixtures may be present in solution in an amount less than about 99.5% by weight. In some embodiments, the solvents or solvent mixtures may be present in solution in an amount from about 30% to about 99.5% by weight.
The solvents used herein may comprise any suitable impurity level, such as less than about 1 ppm, less than about 100 ppb, less than about 10 ppb, less than about 1 ppb, less than about 100 ppt, less than about 10 ppt and in some cases, less than about 1 ppt. These solvents may be purchased having impurity levels that are appropriate for use in these contemplated applications or may need to be further purified to remove additional impurities and to reach the less than about 10 ppb, less than about 1 ppb, less than about 100 ppt or lower levels that are becoming more desirable in the art of etching and cleaning.
Chelating agents, such as an organic acid (acetic acid, citric acid, lactic acid, oxalic acid, tartaric acid, gluconic acid, iminodiacetic acid, succinic acid, malic acid, maleic acid or a combination thereof), an amine (hexamethylenetetramine, thethanolamine, nitrilotriacetic acid, tris(2-pyhdylmethyl)amine, EDTA), phosphonates, such as diamyl amylphosphonate, bis(2-chloroethyl) methyl phosphonate, dibutyl butylphosphonate, diethyl benzylphosphonate, nithloths(methylene)thphosphonic acid, hydroxyethylidenediphosphonic acid, sulfonic acid, such as 3-(N-tris[hydroxymethyl]methylamine)-2- hydroxypropanesulfonic acid, 3([1 ,1-dimethyl-2-hydroxyethyl)amine]-2- hydroxypropanesulfonic acid, 1 ,2,4,5-benzenetetracarboxylic acid, THF- tetracarboxylic acid, thfluoroacetic acid, N-(2-(acetamido)imino)diacetic acid, H3PO4 or combinations thereof of any of the above chelating agents may also be added to the at least one solvent or solvent mixture, the at least one fluorine-based constituent and/or the removal chemistry solutions produced initially The chelator may be dissolved directly into the first solvent or solvent mixture pre or post fluorine-based constituent (such as HF(9)) addition, or if the chelator has low solubility in the first solvent or solvent mixture, can first be dissolved in an appropriate co-solvent prior to addition to first solvent or solvent mixture. In some embodiments, chelating agents comprise metal chelating agents. As contemplated herein, the at least one chelating agent may be present in solution in an amount less than about 20% by weight. In some embodiments, the at least one chelating agent may be present in solution in an amount from about 0.001 % to about 20% by weight. In some embodiments, at least two chelating agents may be present in solution. The at least one fluorine-based constituent, the at least one chelating agent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein may be provided by any suitable method, including a) buying at least some of at least one fluorine-based constituent, the at least one chelating agent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein from a supplier; b) preparing or producing at least some of the at least one fluorine-based constituent, the at least one chelating agent, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein in house using chemicals provided by another source and/or c) preparing or producing at least some of the at least one fluorine- based constituent, the oxalic acid, the at least one solvent or solvent mixture and/or any other constituent/additive mentioned herein in house using chemicals also produced or provided in house or at the location.
In one embodiment, the etchant is a solution of anhydrous hydrogen fluoride and oxalic acid at relatively low concentrations, i.e., less than 4% weight percent for each component. The remainder of the solution is a solvent that comprises propylene carbonate.
In some contemplated embodiments, etchants comprise about 0.1 to 5 weight percent HF; less than about 1 weight percent of oxalic acid; and at least one solvent or solvent mixture. In other contemplated embodiments, etchants comprise about 3.6 weight percent of anhydrous HF, about 0.8 weight percent of oxalic acid, and at least one solvent or solvent mixture comprising propylene carbonate.
It should be appreciated that the etchant composition described above may also be used in a method for etching a semiconductor substrate comprising tantalum carbide, such as those described herein. One contemplated method of etching tantalum carbide comprises a) providing an etching solution such as those described herein; b) providing a layered material comprising tantalum carbide; c) applying the etching solution to the layered material; and d) etching at least part of the tantalum carbide.
Wafers and layered materials contemplated herein comprise those wafers and layered materials that are utilized or considered to be utilized in semiconductor or electronic applications, such as dual damascene structures, and comprise at least one layer of material. Surfaces contemplated herein may comprise any desirable substantially solid material, such as a substrate, wafer or other suitable surface. Particularly desirable substrate layers would comprise films, organic polymer, inorganic polymer, glass, ceramic, plastic, metal or coated metal, or composite material. Surface and/or substrate layers comprise at least one layer and in some instances comprise a plurality of layers. In other embodiments, the substrate comprises a material common in the integrated circuit industries as well as the packaging and circuit board industries such as silicon, copper, glass, and another polymer. Suitable surfaces contemplated herein may also include another previously formed layered stack, other layered component, or other component altogether. An example of this may be where a dielectric material and CVD barrier layer are first laid down as a layered stack - which is considered the "surface" for the subsequently spun-on layered component.
At least one layer may be coupled to the surface or substrate creating a multilayered stack. As used herein, the term "coupled" means that the surface and layer or two layers are physically attached to one another or there's a physical attraction between two parts of matter or components, including bond forces such as covalent and ionic bonding, and non-bond forces such as Van der Waals, electrostatic, coulombic, hydrogen bonding and/or magnetic attraction. Also, as used herein, the term coupled is meant to encompass a situation where the surface and layer or two layers are directly attached to one another, but the term is also meant to encompass the situation where the surface and the layer or plurality of layers are coupled to one another indirectly - such as the case where there's an adhesion promoter layer between the surface and layer or where there's another layer altogether between the surface and layer or plurality of layers. Electronic-based products can be "finished" in the sense that they are ready to be used in industry or by other consumers. Examples of finished consumer products are a television, a computer, a cell phone, a pager, a palm-type organizer, a portable radio, a car stereo, and a remote control. Also contemplated are "intermediate" products such as circuit boards, chip packaging, and keyboards that are potentially utilized in finished products.
Electronic products may also comprise a prototype component, at any stage of development from conceptual model to final scale-up/mock-up. A prototype may or may not contain all of the actual components intended in a finished product, and a prototype may have some components that are constructed out of composite material in order to negate their initial effects on other components while being initially tested.
As used herein, the term "electronic component" means any device or part that can be used in a circuit to obtain some desired electrical action. Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field-effect transistors, and integrated circuits. Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators. Here, conductors are components that allow charge carriers (such as electrons) to move with ease among atoms as in an electric current. Examples of conductor components are circuit traces and vias comprising metals. Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of current, such as a material employed to electrically separate other components, while semiconductors are components having a function that is substantially related to the ability of a material to conduct current with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyhstors and photosensors.
Electronic components contemplated herein may also be classified as power sources or power consumers. Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells. As used herein, the term "battery" means a device that produces usable amounts of electrical power through chemical reactions. Similarly, rechargeable or secondary batteries are devices that store usable amounts of electrical energy through chemical reactions. Power consuming components include resistors, transistors, ICs, sensors, and the like.
Still further, electronic components contemplated herein may also be classified as discreet or integrated. Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are ICs, i.e., integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
EXAMPLES
Design of experiment (DOE) methodology has been utilized to aid in the development of a selective TaC etchant. (see G. W. Oehlert, A First Course in
Design and Analysis of Experiments, W. H. Freeman and Company, New York, (2000)) A three-factor and three-level Box-Behnken design was utilized. The responses measured were TaC, HfO2, thermal oxide (TOx) and TEOS etch rates as well as the TaCVHfO2, TaCVTOx, and TaC/TEOS etch selectivities. The factors (i.e. independent variables) in this study were temperature and the concentration of two components ((A) (hydrogen fluoride) and (B) (oxalic acid)) of the etchant. The responses were measured at low (-1 ), middle (0), and high (1 ) factor settings.
The surface and contour plots of the responses were prepared and are shown herein. A response surface of the TaCVHfO2 etch selectivity as a function of the concentrations of component A (hydrogen fluoride) and component B (oxalic acid) with the temperature fixed at the middle (O) setting, depicted in Figure 2, is an example of the results that were collected. A contour plot of the TaCVTOx etch selectivity as a function of temperature and the concentrations of component A with the concentration of component B fixed at the middle (O) setting, depicted in Figure 3, is another example of the results that were collected. The results of this DOE study were utilized to formulate a selective TaC etchant, with a TaC/ HfO2 selectivity >100, that can be utilized with a SiO2 hard mask.
Thus, specific embodiments and applications of selective wet etching chemistries and solutions for semiconductor and electronic applications, these solutions manufacture and uses thereof have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein. The inventive subject matter, therefore, is not to be restricted except in the spirit of the disclosure. Moreover, in interpreting the disclosure, all terms should be interpreted in the broadest possible manner consistent with the context. In particular, the terms "comprises" and "comprising" should be interpreted as referring to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps may be present, utilized or combined with other elements, components, or steps that are not expressly referenced.

Claims

1. An etchant for selective removal of tantalum carbide, comprising: at least one fluorine-based constituent; at least one chelating agent; and at least one solvent or solvent mixture.
2. The etchant of claim 1 , wherein the tantalum carbide is coupled to a high k dielectric layer.
3. The etchant of claim 2, wherein the high k dielectric layer comprises a hafnium-based dielectric material.
4. The etchant of claim 3, wherein the hafnium-based dielectric material comprises hafnium dioxide, hafnium silicate or a combination thereof.
5. The etchant of claim 1 , wherein the etchant has a selectivity of TaC to HfO2 or hafnium silicate of at least about 10 to 1 .
6. The etchant of claim 1 , wherein the at least one fluorine-based constituent comprises hydrogen fluoride.
7. The etchant of claim 1 , wherein the at least one chelating agent comprises oxalic acid.
8. The etchant of claim 1 , wherein the solvent or solvent mixture comprises propylene carbonate.
9. The etchant of claim 1 , wherein the solvent or solvent mixture comprises ethylene carbonate, ethylene glycol or sulfuric acid.
10. The etchant of claim 1 , wherein the weight percent of the at least one fluorine- based constituent is less than about 20 weight percent.
1 1. The etchant of claim 10, wherein the weight percent of the at least one fluorine-based constituent is less than about 5 weight percent.
12. The etchant of claim 1 1 , wherein the weight percent of the at least one fluorine-based constituent is less than about 1 weight percent.
13. The etchant of claim 12, wherein the weight percent of the at least one fluorine-based constituent is less than about 0.5 weight percent.
14. The etchant of claim 1 , wherein the weight percent of the chelating agent is less than about 10 weight percent.
15. The etchant of claim 14, wherein the weight percent of the chelating agent is less than about 2.5 weight percent
16. The etchant of claim 15, wherein the weight percent of the chelating agent is less than about 0.5 weight percent.
17. The etchant of claim 16, wherein the weight percent of the chelating agent is less than about 0.1 weight percent
18. A method for producing a solution for selective removal of tantalum carbide, comprising: providing at least one fluorine-based constituent; providing chelating agent; providing at least one solvent or solvent mixture, and combining the fluorine-based constituent and chelating agent into the at least one solvent or solvent mixture to form the solution.
19. The method of claim 18, wherein the at least one fluorine-based constituent comprises hydrogen fluoride.
20. The method of claim 19 wherein the at least one chelating agent comprises oxalic acid
21. The method of claim 19, wherein the solvent or solvent mixture comprises propylene carbonate.
22. The method of claim 19, wherein the solvent or solvent mixture comprises ethylene carbonate, ethylene glycol or sulfuric acid.
23. The method of claim 19, wherein the weight percent of the at least one fluorine-based constituent is less than about 20 weight percent.
24. The method of claim 23, wherein the weight percent of the at least one fluorine-based constituent is less than about 10 weight percent.
25. The method of claim 19, wherein the weight percent of the oxalic acid is less than about 2 weight percent.
26. The method of claim 25, wherein the weight percent of the oxalic acid is less than about 1 weight percent.
27. A method of etching tantalum carbide, comprising: providing the etching solution of claim 1 ; providing a layered material comprising at least one high dielectric constant material and tantalum carbide; applying the etching solution to the layered material; and etching at least part of the tantalum carbide.
28. The method of claim 27, wherein the at least one high dielectric constant material comprises hafnium dioxide or hafnium silicate.
29. An etchant, comprising: about 0.1 to 5 weight percent HF; less than about 1 weight percent of oxalic acid; and at least one solvent or solvent mixture.
30. The etchant of claim 29, wherein the solvent or solvent mixture comprises propylene carbonate.
31. The etchant of claim 29, comprising: about 3.6 weight percent of anhydrous HF, about 0.8 weight percent of oxalic acid, and at least one solvent or solvent mixture comprising propylene carbonate. 35. An etchant, comprising: at least one fluorine-based constituent, and t one solvent, wherein the combination of the at least one fluorine- based constituent and the at least one solvent selectively etches tantalum carbide.
PCT/US2007/069506 2006-05-25 2007-05-23 Selective tantalum carbide etchant, methods of production and uses thereof WO2007140193A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US80826906P 2006-05-25 2006-05-25
US60/808,269 2006-05-25

Publications (1)

Publication Number Publication Date
WO2007140193A1 true WO2007140193A1 (en) 2007-12-06

Family

ID=38537780

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/069506 WO2007140193A1 (en) 2006-05-25 2007-05-23 Selective tantalum carbide etchant, methods of production and uses thereof

Country Status (1)

Country Link
WO (1) WO2007140193A1 (en)

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3749618A (en) * 1971-09-20 1973-07-31 Mc Donnell Douglas Corp Process and solution for removing titanium and refractory metals and their alloys from tools
US4395304A (en) * 1982-05-11 1983-07-26 Rca Corporation Selective etching of phosphosilicate glass
US5300463A (en) * 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
WO1999033094A1 (en) * 1997-12-19 1999-07-01 Advanced Chemical Systems International Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent and glycol solvent
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
WO2001057921A1 (en) * 2000-02-04 2001-08-09 Motorola Inc. Etching solution and method
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US20020037820A1 (en) * 2000-07-10 2002-03-28 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20030181342A1 (en) * 2002-03-25 2003-09-25 Seijo Ma. Fatima pH buffered compositions useful for cleaning residue from semiconductor substrates
US20040188385A1 (en) * 2003-03-26 2004-09-30 Kenji Yamada Etching agent composition for thin films having high permittivity and process for etching
US20050101134A1 (en) * 2003-11-06 2005-05-12 Brask Justin K. Method for etching a thin metal layer
JP2006114872A (en) * 2004-09-15 2006-04-27 Daikin Ind Ltd Removing liquid and removing method of copper deteriorated layer containing copper oxide
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3749618A (en) * 1971-09-20 1973-07-31 Mc Donnell Douglas Corp Process and solution for removing titanium and refractory metals and their alloys from tools
US4395304A (en) * 1982-05-11 1983-07-26 Rca Corporation Selective etching of phosphosilicate glass
US5300463A (en) * 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
WO1999033094A1 (en) * 1997-12-19 1999-07-01 Advanced Chemical Systems International Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent and glycol solvent
US6117796A (en) * 1998-08-13 2000-09-12 International Business Machines Corporation Removal of silicon oxide
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
WO2001057921A1 (en) * 2000-02-04 2001-08-09 Motorola Inc. Etching solution and method
US20020037820A1 (en) * 2000-07-10 2002-03-28 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20030181342A1 (en) * 2002-03-25 2003-09-25 Seijo Ma. Fatima pH buffered compositions useful for cleaning residue from semiconductor substrates
US20040188385A1 (en) * 2003-03-26 2004-09-30 Kenji Yamada Etching agent composition for thin films having high permittivity and process for etching
US20050101134A1 (en) * 2003-11-06 2005-05-12 Brask Justin K. Method for etching a thin metal layer
JP2006114872A (en) * 2004-09-15 2006-04-27 Daikin Ind Ltd Removing liquid and removing method of copper deteriorated layer containing copper oxide
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Similar Documents

Publication Publication Date Title
US20080110748A1 (en) Selective High Dielectric Constant Material Etchant
US7820552B2 (en) Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US7776757B2 (en) Method of fabricating high-k metal gate devices
US8435891B2 (en) Converting metal mask to metal-oxide etch stop layer and related semiconductor structure
US7718532B2 (en) Method of forming a high-k film on a semiconductor device
KR20080091844A (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2008211182A (en) Method of manufacturing cmos device having two work functions
CN101097949A (en) Semiconductor device structures with gate stacks and method for making the same
Ren et al. A dual-metal gate integration process for CMOS with sub-1-nm EOT HfO/sub 2/by using HfN replacement gate
WO2012023387A1 (en) Method for producing transistor
EP1824945A1 (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US9177868B2 (en) Annealing oxide gate dielectric layers for replacement metal gate field effect transistors
US20100213555A1 (en) Metal oxide semiconductor devices having capping layers and methods for fabricating the same
CN109423290A (en) Etching solution for selectively removing tantalum nitride relative to titanium nitride in manufacturing semiconductor device
Saga et al. Wafer cleaning using supercritical CO2 in semiconductor and nanoelectronic device fabrication
US20170301551A1 (en) Fabrication of multi threshold-voltage devices
US20050065050A1 (en) Selective silicon etch chemistries, methods of production and uses thereof
WO2007140193A1 (en) Selective tantalum carbide etchant, methods of production and uses thereof
KR20140017483A (en) Silicon etching fluid and method for producing transistor using same
US9466495B2 (en) Chemical dielectric formation for semiconductor device fabrication
US11411092B2 (en) Field effect transistor (FET) comprising inner spacers and voids between channels
CN109642159A (en) Non-aqueous tungsten biocompatible metals nitride Selectivity etchant and detergent
US8236475B2 (en) Methods for removing a photoresist from a metal-comprising material
Watanabe et al. Galvanic corrosion suppression of high-k/metal gates using organic solvent-based hydrogen fluoride
US10910276B1 (en) STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07797667

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
122 Ep: pct application non-entry in european phase

Ref document number: 07797667

Country of ref document: EP

Kind code of ref document: A1

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)